diff --git a/modeling/LIP6/miniAsynchronousDeploy.xml b/modeling/LIP6/miniAsynchronousDeploy.xml index c7078b5a0d14eccaa6568c8daf14ac8025544d92..d526724f4f22301725c1cd7cff5acbe3cd1f5201 100644 --- a/modeling/LIP6/miniAsynchronousDeploy.xml +++ b/modeling/LIP6/miniAsynchronousDeploy.xml @@ -7,7 +7,7 @@ <MainCode value="void __user_init() {"/> <MainCode value="}"/> <Optimized value="true" /> -<Validated value="" /> +<Validated value="Block1;Block0;" /> <Ignored value="" /> <CONNECTOR type="5002" id="2" > diff --git a/modeling/SystemC-AMS/automatic_braking_nondeterminism.xml b/modeling/SystemC-AMS/automatic_braking_nondeterminism.xml index fcc9b317beafbfe56ccc029db468d3814aba929d..c6d53f589da8b576ed57da1e4eed6e86622a8ddf 100644 --- a/modeling/SystemC-AMS/automatic_braking_nondeterminism.xml +++ b/modeling/SystemC-AMS/automatic_braking_nondeterminism.xml @@ -8,8 +8,8 @@ <cdparam x="1138" y="581" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="Connector between ports" /> -<P1 x="1140" y="555" id="211" /> -<P2 x="963" y="619" id="60" /> +<P1 x="1140" y="555" id="203" /> +<P2 x="963" y="619" id="62" /> <Point x="1140" y="590" /> <Point x="929" y="590" /> <Point x="929" y="634" /> @@ -43,7 +43,7 @@ <cdparam x="1300" y="302" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="Connector between ports" /> -<P1 x="1287" y="289" id="192" /> +<P1 x="1287" y="289" id="190" /> <P2 x="1104" y="158" id="73" /> <Point x="1318" y="302" /> <Point x="1318" y="218" /> @@ -87,8 +87,8 @@ <cdparam x="472" y="108" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> -<P1 x="460" y="109" id="30" /> -<P2 x="195" y="81" id="222" /> +<P1 x="460" y="109" id="36" /> +<P2 x="195" y="81" id="226" /> <Point x="460" y="95" /> <AutomaticDrawing data="true" /> </CONNECTOR><SUBCOMPONENT type="-1" id="10" > @@ -105,15 +105,15 @@ <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> <P1 x="195" y="174" id="224" /> -<P2 x="355" y="174" id="28" /> +<P2 x="355" y="174" id="38" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="14" > <cdparam x="1018" y="479" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> -<P1 x="1018" y="479" id="203" /> -<P2 x="525" y="154" id="32" /> +<P1 x="1018" y="479" id="211" /> +<P2 x="525" y="154" id="34" /> <Point x="1018" y="168" /> <AutomaticDrawing data="true" /> </CONNECTOR><SUBCOMPONENT type="-1" id="13" > @@ -129,15 +129,15 @@ <cdparam x="221" y="113" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> -<P1 x="195" y="125" id="226" /> -<P2 x="355" y="125" id="34" /> +<P1 x="195" y="125" id="222" /> +<P2 x="355" y="125" id="32" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="16" > <cdparam x="1309" y="685" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> -<P1 x="1082" y="658" id="62" /> +<P1 x="1082" y="658" id="60" /> <P2 x="1021" y="701" id="49" /> <AutomaticDrawing data="true" /> </CONNECTOR> @@ -146,23 +146,23 @@ <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> <P1 x="1080" y="479" id="207" /> -<P2 x="1094" y="291" id="190" /> +<P2 x="1094" y="291" id="192" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="18" > <cdparam x="843" y="483" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> -<P1 x="828" y="457" id="140" /> -<P2 x="977" y="518" id="205" /> +<P1 x="828" y="457" id="136" /> +<P2 x="977" y="518" id="209" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="19" > <cdparam x="686" y="624" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> -<P1 x="692" y="584" id="168" /> -<P2 x="692" y="487" id="142" /> +<P1 x="692" y="584" id="166" /> +<P2 x="692" y="487" id="134" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="20" > @@ -170,15 +170,15 @@ <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> <P1 x="695" y="707" id="179" /> -<P2 x="695" y="646" id="166" /> +<P2 x="695" y="646" id="168" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="21" > <cdparam x="247" y="495" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> -<P1 x="375" y="208" id="36" /> -<P2 x="301" y="454" id="99" /> +<P1 x="375" y="208" id="30" /> +<P2 x="301" y="454" id="95" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="22" > @@ -193,15 +193,15 @@ <cdparam x="247" y="467" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> -<P1 x="480" y="208" id="38" /> -<P2 x="521" y="291" id="112" /> +<P1 x="480" y="208" id="28" /> +<P2 x="521" y="291" id="110" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="24" > <cdparam x="555" y="415" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> -<P1 x="548" y="495" id="95" /> +<P1 x="548" y="495" id="99" /> <P2 x="605" y="479" id="138" /> <AutomaticDrawing data="true" /> </CONNECTOR> @@ -209,16 +209,16 @@ <cdparam x="531" y="310" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> -<P1 x="569" y="351" id="110" /> -<P2 x="605" y="444" id="136" /> +<P1 x="569" y="351" id="112" /> +<P2 x="605" y="444" id="140" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="26" > <cdparam x="708" y="372" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> -<P1 x="708" y="359" id="155" /> -<P2 x="708" y="421" id="134" /> +<P1 x="708" y="359" id="153" /> +<P2 x="708" y="421" id="142" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="27" > @@ -226,7 +226,7 @@ <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Primitive port to Primitive port" value="Connector between ports" /> <P1 x="706" y="116" id="123" /> -<P2 x="706" y="302" id="153" /> +<P2 x="706" y="302" id="155" /> <AutomaticDrawing data="true" /> </CONNECTOR> <COMPONENT type="1202" id="48" > @@ -251,14 +251,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="29" > <father id="48" num="0" /> -<cdparam x="342" y="174" /> +<cdparam x="467" y="208" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="157" minY="-13" maxY="86" /> -<infoparam name="Primitive port" value="Channel neighbourhoodData" /> +<infoparam name="Primitive port" value="Event emergencyBrake" /> <TGConnectingPoint num="0" id="28" /> <extraparam> -<Prop commName="neighbourhoodData" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="emergencyBrake" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -268,14 +268,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="31" > <father id="48" num="1" /> -<cdparam x="447" y="109" /> +<cdparam x="362" y="208" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="157" minY="-13" maxY="86" /> -<infoparam name="Primitive port" value="Event broadcastInfoEmergencyBraking" /> +<infoparam name="Primitive port" value="Channel neighbourhoodInfo" /> <TGConnectingPoint num="0" id="30" /> <extraparam> -<Prop commName="broadcastInfoEmergencyBraking" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="neighbourhoodInfo" commType="0" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -285,14 +285,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="33" > <father id="48" num="2" /> -<cdparam x="512" y="154" /> +<cdparam x="342" y="125" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="157" minY="-13" maxY="86" /> -<infoparam name="Primitive port" value="Event sendEmergencyBraking" /> +<infoparam name="Primitive port" value="Event DSRCEmergencyBrake" /> <TGConnectingPoint num="0" id="32" /> <extraparam> -<Prop commName="sendEmergencyBraking" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="DSRCEmergencyBrake" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -302,14 +302,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="35" > <father id="48" num="3" /> -<cdparam x="342" y="125" /> +<cdparam x="512" y="154" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="157" minY="-13" maxY="86" /> -<infoparam name="Primitive port" value="Event DSRCEmergencyBrake" /> +<infoparam name="Primitive port" value="Event sendEmergencyBraking" /> <TGConnectingPoint num="0" id="34" /> <extraparam> -<Prop commName="DSRCEmergencyBrake" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="sendEmergencyBraking" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -319,14 +319,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="37" > <father id="48" num="4" /> -<cdparam x="362" y="208" /> +<cdparam x="447" y="109" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="157" minY="-13" maxY="86" /> -<infoparam name="Primitive port" value="Channel neighbourhoodInfo" /> +<infoparam name="Primitive port" value="Event broadcastInfoEmergencyBraking" /> <TGConnectingPoint num="0" id="36" /> <extraparam> -<Prop commName="neighbourhoodInfo" commType="0" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="broadcastInfoEmergencyBraking" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -336,14 +336,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="39" > <father id="48" num="5" /> -<cdparam x="467" y="208" /> +<cdparam x="342" y="174" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="157" minY="-13" maxY="86" /> -<infoparam name="Primitive port" value="Event emergencyBrake" /> +<infoparam name="Primitive port" value="Channel neighbourhoodData" /> <TGConnectingPoint num="0" id="38" /> <extraparam> -<Prop commName="emergencyBrake" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="neighbourhoodData" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -408,14 +408,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="61" > <father id="72" num="0" /> -<cdparam x="950" y="619" /> +<cdparam x="1069" y="658" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="232" minY="-13" maxY="51" /> -<infoparam name="Primitive port" value="Event drivingPowerReduction" /> +<infoparam name="Primitive port" value="Event commandDP" /> <TGConnectingPoint num="0" id="60" /> <extraparam> -<Prop commName="drivingPowerReduction" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="commandDP" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -425,14 +425,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="63" > <father id="72" num="1" /> -<cdparam x="1069" y="658" /> +<cdparam x="950" y="619" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="232" minY="-13" maxY="51" /> -<infoparam name="Primitive port" value="Event commandDP" /> +<infoparam name="Primitive port" value="Event drivingPowerReduction" /> <TGConnectingPoint num="0" id="62" /> <extraparam> -<Prop commName="commandDP" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="drivingPowerReduction" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -533,14 +533,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="96" > <father id="109" num="0" /> -<cdparam x="535" y="495" /> +<cdparam x="288" y="454" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="262" minY="-13" maxY="49" /> -<infoparam name="Primitive port" value="Channel neigbourhoodTable" /> +<infoparam name="Primitive port" value="Channel neighbourhoodInfo" /> <TGConnectingPoint num="0" id="95" /> <extraparam> -<Prop commName="neigbourhoodTable" commType="0" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="neighbourhoodInfo" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -567,14 +567,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="100" > <father id="109" num="2" /> -<cdparam x="288" y="454" /> +<cdparam x="535" y="495" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="262" minY="-13" maxY="49" /> -<infoparam name="Primitive port" value="Channel neighbourhoodInfo" /> +<infoparam name="Primitive port" value="Channel neigbourhoodTable" /> <TGConnectingPoint num="0" id="99" /> <extraparam> -<Prop commName="neighbourhoodInfo" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="neigbourhoodTable" commType="0" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -603,14 +603,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="111" > <father id="122" num="0" /> -<cdparam x="556" y="351" /> +<cdparam x="508" y="291" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="163" minY="-13" maxY="47" /> <infoparam name="Primitive port" value="Event emergencyBrake" /> <TGConnectingPoint num="0" id="110" /> <extraparam> -<Prop commName="emergencyBrake" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="emergencyBrake" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -620,14 +620,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="113" > <father id="122" num="1" /> -<cdparam x="508" y="291" /> +<cdparam x="556" y="351" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="163" minY="-13" maxY="47" /> <infoparam name="Primitive port" value="Event emergencyBrake" /> <TGConnectingPoint num="0" id="112" /> <extraparam> -<Prop commName="emergencyBrake" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="emergencyBrake" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -692,14 +692,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="135" > <father id="152" num="0" /> -<cdparam x="695" y="421" /> +<cdparam x="679" y="487" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="210" minY="-13" maxY="53" /> -<infoparam name="Primitive port" value="Channel objList01" /> +<infoparam name="Primitive port" value="Channel vehicleDynamics" /> <TGConnectingPoint num="0" id="134" /> <extraparam> -<Prop commName="objList01" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="vehicleDynamics" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -709,14 +709,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="137" > <father id="152" num="1" /> -<cdparam x="592" y="444" /> +<cdparam x="815" y="457" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="210" minY="-13" maxY="53" /> <infoparam name="Primitive port" value="Event emergencyBrake" /> <TGConnectingPoint num="0" id="136" /> <extraparam> -<Prop commName="emergencyBrake" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="emergencyBrake" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -743,14 +743,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="141" > <father id="152" num="3" /> -<cdparam x="815" y="457" /> +<cdparam x="592" y="444" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="210" minY="-13" maxY="53" /> <infoparam name="Primitive port" value="Event emergencyBrake" /> <TGConnectingPoint num="0" id="140" /> <extraparam> -<Prop commName="emergencyBrake" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="emergencyBrake" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -760,14 +760,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="143" > <father id="152" num="4" /> -<cdparam x="679" y="487" /> +<cdparam x="695" y="421" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="210" minY="-13" maxY="53" /> -<infoparam name="Primitive port" value="Channel vehicleDynamics" /> +<infoparam name="Primitive port" value="Channel objList01" /> <TGConnectingPoint num="0" id="142" /> <extraparam> -<Prop commName="vehicleDynamics" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="objList01" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -796,14 +796,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="154" > <father id="165" num="0" /> -<cdparam x="693" y="302" /> +<cdparam x="695" y="359" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="214" minY="-13" maxY="44" /> -<infoparam name="Primitive port" value="Channel rawData01" /> +<infoparam name="Primitive port" value="Channel objList01" /> <TGConnectingPoint num="0" id="153" /> <extraparam> -<Prop commName="rawData01" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="objList01" commType="0" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -813,14 +813,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="156" > <father id="165" num="1" /> -<cdparam x="695" y="359" /> +<cdparam x="693" y="302" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="214" minY="-13" maxY="44" /> -<infoparam name="Primitive port" value="Channel objList01" /> +<infoparam name="Primitive port" value="Channel rawData01" /> <TGConnectingPoint num="0" id="155" /> <extraparam> -<Prop commName="objList01" commType="0" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="rawData01" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -849,14 +849,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="167" > <father id="178" num="0" /> -<cdparam x="682" y="646" /> +<cdparam x="679" y="584" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="229" minY="-13" maxY="49" /> -<infoparam name="Primitive port" value="Channel rawData02" /> +<infoparam name="Primitive port" value="Channel vehicleDynamics" /> <TGConnectingPoint num="0" id="166" /> <extraparam> -<Prop commName="rawData02" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="vehicleDynamics" commType="0" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -866,14 +866,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="169" > <father id="178" num="1" /> -<cdparam x="679" y="584" /> +<cdparam x="682" y="646" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="229" minY="-13" maxY="49" /> -<infoparam name="Primitive port" value="Channel vehicleDynamics" /> +<infoparam name="Primitive port" value="Channel rawData02" /> <TGConnectingPoint num="0" id="168" /> <extraparam> -<Prop commName="vehicleDynamics" commType="0" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="rawData02" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -938,14 +938,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="191" > <father id="202" num="0" /> -<cdparam x="1081" y="291" /> +<cdparam x="1274" y="289" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="180" minY="-13" maxY="47" /> -<infoparam name="Primitive port" value="Event brakeCommand" /> +<infoparam name="Primitive port" value="Event brake" /> <TGConnectingPoint num="0" id="190" /> <extraparam> -<Prop commName="brakeCommand" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="brake" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -955,14 +955,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="193" > <father id="202" num="1" /> -<cdparam x="1274" y="289" /> +<cdparam x="1081" y="291" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="180" minY="-13" maxY="47" /> -<infoparam name="Primitive port" value="Event brake" /> +<infoparam name="Primitive port" value="Event brakeCommand" /> <TGConnectingPoint num="0" id="192" /> <extraparam> -<Prop commName="brake" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="brakeCommand" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -991,14 +991,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="204" > <father id="221" num="0" /> -<cdparam x="1005" y="479" /> +<cdparam x="1127" y="555" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="187" minY="-13" maxY="63" /> -<infoparam name="Primitive port" value="Event sendEmergencyBraking" /> +<infoparam name="Primitive port" value="Event drivingPowerReduction" /> <TGConnectingPoint num="0" id="203" /> <extraparam> -<Prop commName="sendEmergencyBraking" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="drivingPowerReduction" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -1008,14 +1008,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="206" > <father id="221" num="1" /> -<cdparam x="964" y="518" /> +<cdparam x="1164" y="519" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="187" minY="-13" maxY="63" /> -<infoparam name="Primitive port" value="Event emergencyBrake" /> +<infoparam name="Primitive port" value="Event infoEmergencyBraking" /> <TGConnectingPoint num="0" id="205" /> <extraparam> -<Prop commName="emergencyBrake" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="infoEmergencyBraking" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -1042,14 +1042,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="210" > <father id="221" num="3" /> -<cdparam x="1164" y="519" /> +<cdparam x="964" y="518" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="187" minY="-13" maxY="63" /> -<infoparam name="Primitive port" value="Event infoEmergencyBraking" /> +<infoparam name="Primitive port" value="Event emergencyBrake" /> <TGConnectingPoint num="0" id="209" /> <extraparam> -<Prop commName="infoEmergencyBraking" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="emergencyBrake" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -1059,14 +1059,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="212" > <father id="221" num="4" /> -<cdparam x="1127" y="555" /> +<cdparam x="1005" y="479" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="187" minY="-13" maxY="63" /> -<infoparam name="Primitive port" value="Event drivingPowerReduction" /> +<infoparam name="Primitive port" value="Event sendEmergencyBraking" /> <TGConnectingPoint num="0" id="211" /> <extraparam> -<Prop commName="drivingPowerReduction" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="sendEmergencyBraking" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -1095,14 +1095,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="223" > <father id="236" num="0" /> -<cdparam x="182" y="81" /> +<cdparam x="182" y="125" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="145" minY="-13" maxY="136" /> -<infoparam name="Primitive port" value="Event broadcastInfoEmergencyBraking" /> +<infoparam name="Primitive port" value="Event DSRCEmergencyBrake" /> <TGConnectingPoint num="0" id="222" /> <extraparam> -<Prop commName="broadcastInfoEmergencyBraking" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="DSRCEmergencyBrake" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -1129,14 +1129,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="227" > <father id="236" num="2" /> -<cdparam x="182" y="125" /> +<cdparam x="182" y="81" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="145" minY="-13" maxY="136" /> -<infoparam name="Primitive port" value="Event DSRCEmergencyBrake" /> +<infoparam name="Primitive port" value="Event broadcastInfoEmergencyBraking" /> <TGConnectingPoint num="0" id="226" /> <extraparam> -<Prop commName="DSRCEmergencyBrake" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="broadcastInfoEmergencyBraking" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="-1" dataFlowType="" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -1497,11 +1497,11 @@ </COMPONENT> <SUBCOMPONENT type="1101" id="343" > <father id="377" num="0" /> -<cdparam x="1420" y="1017" /> -<sizeparam width="274" height="50" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="1459" y="1073" /> +<sizeparam width="229" height="50" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="-12" minY="0" maxY="122" /> -<infoparam name="TGComponent" value="Braking - FV::DangerAvoidanceStrategy" /> +<cdrectangleparam minX="0" maxX="33" minY="0" maxY="122" /> +<infoparam name="TGComponent" value="Braking - FV::BrakeManagement" /> <TGConnectingPoint num="0" id="335" /> <TGConnectingPoint num="1" id="336" /> <TGConnectingPoint num="2" id="337" /> @@ -1511,16 +1511,16 @@ <TGConnectingPoint num="6" id="341" /> <TGConnectingPoint num="7" id="342" /> <extraparam> -<info value="Braking - FV::DangerAvoidanceStrategy" taskName="DangerAvoidanceStrategy" referenceTaskName="Braking - FV" priority="0" operationMEC="" fatherComponentMECType="0" /> +<info value="Braking - FV::BrakeManagement" taskName="BrakeManagement" referenceTaskName="Braking - FV" priority="0" operationMEC="" fatherComponentMECType="0" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1101" id="352" > <father id="377" num="1" /> -<cdparam x="1459" y="1073" /> -<sizeparam width="229" height="50" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="1420" y="1017" /> +<sizeparam width="274" height="50" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="33" minY="0" maxY="122" /> -<infoparam name="TGComponent" value="Braking - FV::BrakeManagement" /> +<cdrectangleparam minX="0" maxX="-12" minY="0" maxY="122" /> +<infoparam name="TGComponent" value="Braking - FV::DangerAvoidanceStrategy" /> <TGConnectingPoint num="0" id="344" /> <TGConnectingPoint num="1" id="345" /> <TGConnectingPoint num="2" id="346" /> @@ -1530,7 +1530,7 @@ <TGConnectingPoint num="6" id="350" /> <TGConnectingPoint num="7" id="351" /> <extraparam> -<info value="Braking - FV::BrakeManagement" taskName="BrakeManagement" referenceTaskName="Braking - FV" priority="0" operationMEC="" fatherComponentMECType="0" /> +<info value="Braking - FV::DangerAvoidanceStrategy" taskName="DangerAvoidanceStrategy" referenceTaskName="Braking - FV" priority="0" operationMEC="" fatherComponentMECType="0" /> </extraparam> </SUBCOMPONENT> @@ -1789,11 +1789,11 @@ </COMPONENT> <SUBCOMPONENT type="1101" id="529" > <father id="572" num="0" /> -<cdparam x="708" y="927" /> -<sizeparam width="255" height="50" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="671" y="1047" /> +<sizeparam width="297" height="50" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="22" minY="0" maxY="171" /> -<infoparam name="TGComponent" value="Braking - FV::ObjectListManagement" /> +<cdrectangleparam minX="0" maxX="-20" minY="0" maxY="171" /> +<infoparam name="TGComponent" value="Braking - FV::VehicleDynamicsManagement" /> <TGConnectingPoint num="0" id="521" /> <TGConnectingPoint num="1" id="522" /> <TGConnectingPoint num="2" id="523" /> @@ -1803,7 +1803,7 @@ <TGConnectingPoint num="6" id="527" /> <TGConnectingPoint num="7" id="528" /> <extraparam> -<info value="Braking - FV::ObjectListManagement" taskName="ObjectListManagement" referenceTaskName="Braking - FV" priority="0" operationMEC="" fatherComponentMECType="0" /> +<info value="Braking - FV::VehicleDynamicsManagement" taskName="VehicleDynamicsManagement" referenceTaskName="Braking - FV" priority="0" operationMEC="" fatherComponentMECType="0" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1101" id="538" > @@ -1827,11 +1827,11 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1101" id="547" > <father id="572" num="2" /> -<cdparam x="671" y="1047" /> -<sizeparam width="297" height="50" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="708" y="927" /> +<sizeparam width="255" height="50" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="-20" minY="0" maxY="171" /> -<infoparam name="TGComponent" value="Braking - FV::VehicleDynamicsManagement" /> +<cdrectangleparam minX="0" maxX="22" minY="0" maxY="171" /> +<infoparam name="TGComponent" value="Braking - FV::ObjectListManagement" /> <TGConnectingPoint num="0" id="539" /> <TGConnectingPoint num="1" id="540" /> <TGConnectingPoint num="2" id="541" /> @@ -1841,7 +1841,7 @@ <TGConnectingPoint num="6" id="545" /> <TGConnectingPoint num="7" id="546" /> <extraparam> -<info value="Braking - FV::VehicleDynamicsManagement" taskName="VehicleDynamicsManagement" referenceTaskName="Braking - FV" priority="0" operationMEC="" fatherComponentMECType="0" /> +<info value="Braking - FV::ObjectListManagement" taskName="ObjectListManagement" referenceTaskName="Braking - FV" priority="0" operationMEC="" fatherComponentMECType="0" /> </extraparam> </SUBCOMPONENT> @@ -2606,11 +2606,11 @@ </COMPONENT> <SUBCOMPONENT type="1101" id="1067" > <father id="1110" num="0" /> -<cdparam x="204" y="285" /> -<sizeparam width="228" height="50" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="182" y="230" /> +<sizeparam width="320" height="50" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="78" minY="0" maxY="165" /> -<infoparam name="TGComponent" value="Braking - FV::DSRCManagement" /> +<cdrectangleparam minX="0" maxX="-14" minY="0" maxY="165" /> +<infoparam name="TGComponent" value="Braking - FV::NeighbourhoodTableManagement" /> <TGConnectingPoint num="0" id="1059" /> <TGConnectingPoint num="1" id="1060" /> <TGConnectingPoint num="2" id="1061" /> @@ -2620,7 +2620,7 @@ <TGConnectingPoint num="6" id="1065" /> <TGConnectingPoint num="7" id="1066" /> <extraparam> -<info value="Braking - FV::DSRCManagement" taskName="DSRCManagement" referenceTaskName="Braking - FV" priority="0" operationMEC="" fatherComponentMECType="0" /> +<info value="Braking - FV::NeighbourhoodTableManagement" taskName="NeighbourhoodTableManagement" referenceTaskName="Braking - FV" priority="0" operationMEC="" fatherComponentMECType="0" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1101" id="1076" > @@ -2644,11 +2644,11 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1101" id="1085" > <father id="1110" num="2" /> -<cdparam x="182" y="230" /> -<sizeparam width="320" height="50" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="204" y="285" /> +<sizeparam width="228" height="50" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="-14" minY="0" maxY="165" /> -<infoparam name="TGComponent" value="Braking - FV::NeighbourhoodTableManagement" /> +<cdrectangleparam minX="0" maxX="78" minY="0" maxY="165" /> +<infoparam name="TGComponent" value="Braking - FV::DSRCManagement" /> <TGConnectingPoint num="0" id="1077" /> <TGConnectingPoint num="1" id="1078" /> <TGConnectingPoint num="2" id="1079" /> @@ -2658,7 +2658,7 @@ <TGConnectingPoint num="6" id="1083" /> <TGConnectingPoint num="7" id="1084" /> <extraparam> -<info value="Braking - FV::NeighbourhoodTableManagement" taskName="NeighbourhoodTableManagement" referenceTaskName="Braking - FV" priority="0" operationMEC="" fatherComponentMECType="0" /> +<info value="Braking - FV::DSRCManagement" taskName="DSRCManagement" referenceTaskName="Braking - FV" priority="0" operationMEC="" fatherComponentMECType="0" /> </extraparam> </SUBCOMPONENT> @@ -5864,7 +5864,7 @@ <MainCode value="void __user_init() {"/> <MainCode value="}"/> <Optimized value="true" /> -<Validated value="Communication;CorrectnessChecking;NeighbourhoodTableManagement;DSRSC_Management;PTC;DrivingPowerReductionStrategy;BCU;BrakeManagement;DangerAvoidanceStrategy;CSCU;VehiculeDynamicsManagement;PlausibilityCheck;ObjectListManagement;" /> +<Validated value="" /> <Ignored value="" /> <CONNECTOR type="5002" id="2683" > @@ -5874,8 +5874,8 @@ <TGConnectingPoint num="0" id="2680" /> <TGConnectingPoint num="1" id="2681" /> <TGConnectingPoint num="2" id="2682" /> -<P1 x="168" y="553" id="2780" /> -<P2 x="465" y="1085" id="2909" /> +<P1 x="168" y="553" id="2730" /> +<P2 x="465" y="1085" id="2884" /> <Point x="367" y="632" /> <Point x="367" y="915" /> <AutomaticDrawing data="true" /> @@ -5920,7 +5920,7 @@ <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Block0 to Block0" value="" /> <TGConnectingPoint num="0" id="2686" /> -<P1 x="465" y="1210" id="2911" /> +<P1 x="465" y="1210" id="2886" /> <P2 x="362" y="1208" id="2837" /> <AutomaticDrawing data="true" /> <extraparam> @@ -5934,8 +5934,8 @@ <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Block0 to Block0" value="" /> <TGConnectingPoint num="0" id="2688" /> -<P1 x="777" y="1148" id="2903" /> -<P2 x="825" y="1148" id="2877" /> +<P1 x="777" y="1148" id="2878" /> +<P2 x="825" y="1148" id="2902" /> <AutomaticDrawing data="true" /> <extraparam> <isd value="in brake(int value)" /> @@ -5948,7 +5948,7 @@ <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Block0 to Block0" value="" /> <TGConnectingPoint num="0" id="2690" /> -<P1 x="621" y="1023" id="2900" /> +<P1 x="621" y="1023" id="2875" /> <P2 x="527" y="884" id="2980" /> <AutomaticDrawing data="true" /> <extraparam> @@ -5965,7 +5965,7 @@ <TGConnectingPoint num="1" id="2695" /> <TGConnectingPoint num="2" id="2696" /> <P1 x="587" y="884" id="2989" /> -<P2 x="973" y="855" id="2963" /> +<P2 x="973" y="855" id="3013" /> <Point x="587" y="895" /> <Point x="971" y="895" /> <AutomaticDrawing data="true" /> @@ -5997,7 +5997,7 @@ <infoparam name="connector from Block0 to Block0" value="" /> <TGConnectingPoint num="0" id="2698" /> <P1 x="648" y="836" id="2987" /> -<P2 x="671" y="839" id="3011" /> +<P2 x="671" y="839" id="2961" /> <AutomaticDrawing data="true" /> <extraparam> <iso value="in getInfoOnObstacle(int obstacle)" /> @@ -6010,7 +6010,7 @@ <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Block0 to Block0" value="" /> <TGConnectingPoint num="0" id="2700" /> -<P1 x="759" y="568" id="2739" /> +<P1 x="759" y="568" id="2789" /> <P2 x="527" y="695" id="2975" /> <AutomaticDrawing data="true" /> <extraparam> @@ -6024,8 +6024,8 @@ <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Block0 to Block0" value="" /> <TGConnectingPoint num="0" id="2702" /> -<P1 x="297" y="553" id="2781" /> -<P2 x="606" y="568" id="2729" /> +<P1 x="297" y="553" id="2731" /> +<P2 x="606" y="568" id="2779" /> <AutomaticDrawing data="true" /> <extraparam> <isd value="in getEmergencyBrakingMessage(int id, int position)" /> @@ -6038,7 +6038,7 @@ <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="1000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector from Block0 to Block0" value="" /> <TGConnectingPoint num="0" id="2704" /> -<P1 x="297" y="460" id="2778" /> +<P1 x="297" y="460" id="2728" /> <P2 x="329" y="464" id="2752" /> <AutomaticDrawing data="true" /> <extraparam> @@ -6133,11 +6133,11 @@ </COMPONENT> <SUBCOMPONENT type="5000" id="2748" > <father id="2823" num="0" /> -<cdparam x="606" y="384" /> -<sizeparam width="204" height="184" minWidth="5" minHeight="2" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="40" y="368" /> +<sizeparam width="257" height="185" minWidth="5" minHeight="2" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="586" minY="0" maxY="84" /> -<infoparam name="Block0" value="CorrectnessChecking" /> +<cdrectangleparam minX="0" maxX="533" minY="0" maxY="83" /> +<infoparam name="Block0" value="DSRSC_Management" /> <TGConnectingPoint num="0" id="2724" /> <TGConnectingPoint num="1" id="2725" /> <TGConnectingPoint num="2" id="2726" /> @@ -6167,12 +6167,10 @@ <CryptoBlock value="false" /> <Attribute access="0" id="id" value="" type="8" typeOther="" /> <Attribute access="0" id="position" value="" type="8" typeOther="" /> -<Attribute access="0" id="canHaveInvalid" value="false" type="4" typeOther="" /> -<Method value="checkingMessage()" /> -<Method value="invalidMessage()" /> -<Method value="validMessage()" /> -<Signal value="in getEmergencyBrakingMessage(int id, int position)" attached="true" /> -<Signal value="out toPlausibityCheckMessage(int id, int position)" attached="true" /> +<Method value="sendMessage(int id, int position)" /> +<Signal value="out setCarPosition(int id, int position)" attached="true" /> +<Signal value="out forwardEmergencyBrakingMessage(int id, int position)" attached="true" /> +<Signal value="in broadcastEmergencyBrakingMessage(int id, int position)" attached="true" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5000" id="2773" > @@ -6220,11 +6218,11 @@ </SUBCOMPONENT> <SUBCOMPONENT type="5000" id="2798" > <father id="2823" num="2" /> -<cdparam x="40" y="368" /> -<sizeparam width="257" height="185" minWidth="5" minHeight="2" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="606" y="384" /> +<sizeparam width="204" height="184" minWidth="5" minHeight="2" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="533" minY="0" maxY="83" /> -<infoparam name="Block0" value="DSRSC_Management" /> +<cdrectangleparam minX="0" maxX="586" minY="0" maxY="84" /> +<infoparam name="Block0" value="CorrectnessChecking" /> <TGConnectingPoint num="0" id="2774" /> <TGConnectingPoint num="1" id="2775" /> <TGConnectingPoint num="2" id="2776" /> @@ -6254,10 +6252,12 @@ <CryptoBlock value="false" /> <Attribute access="0" id="id" value="" type="8" typeOther="" /> <Attribute access="0" id="position" value="" type="8" typeOther="" /> -<Method value="sendMessage(int id, int position)" /> -<Signal value="out setCarPosition(int id, int position)" attached="true" /> -<Signal value="out forwardEmergencyBrakingMessage(int id, int position)" attached="true" /> -<Signal value="in broadcastEmergencyBrakingMessage(int id, int position)" attached="true" /> +<Attribute access="0" id="canHaveInvalid" value="false" type="4" typeOther="" /> +<Method value="checkingMessage()" /> +<Method value="invalidMessage()" /> +<Method value="validMessage()" /> +<Signal value="in getEmergencyBrakingMessage(int id, int position)" attached="true" /> +<Signal value="out toPlausibityCheckMessage(int id, int position)" attached="true" /> </extraparam> </SUBCOMPONENT> @@ -6376,11 +6376,11 @@ </COMPONENT> <SUBCOMPONENT type="5000" id="2898" > <father id="2948" num="0" /> -<cdparam x="825" y="1023" /> +<cdparam x="465" y="1023" /> <sizeparam width="312" height="250" minWidth="5" minHeight="2" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="0" maxX="392" minY="0" maxY="60" /> -<infoparam name="Block0" value="BrakeManagement" /> +<infoparam name="Block0" value="DangerAvoidanceStrategy" /> <TGConnectingPoint num="0" id="2874" /> <TGConnectingPoint num="1" id="2875" /> <TGConnectingPoint num="2" id="2876" /> @@ -6408,21 +6408,24 @@ <extraparam> <blockType data="block" color="-4072719" /> <CryptoBlock value="false" /> +<Attribute access="0" id="speed" value="" type="8" typeOther="" /> +<Attribute access="0" id="position" value="" type="8" typeOther="" /> +<Attribute access="0" id="currentPosition" value="" type="8" typeOther="" /> +<Attribute access="0" id="carinfo" value="" type="5" typeOther="CarInfo" /> <Attribute access="0" id="value" value="" type="8" typeOther="" /> -<Attribute access="0" id="deltaBrake" value="0" type="8" typeOther="" /> -<Attribute access="0" id="brakeMaxDuration" value="" type="8" typeOther="" /> -<Method value="applyBraking(int value)" /> -<Method value="brakingDone()" /> -<Signal value="in brake(int value)" attached="true" /> +<Signal value="in brake(int speed, int currentPosition, int position)" attached="true" /> +<Signal value="out reducePower(int value)" attached="true" /> +<Signal value="out brakePower(int value)" attached="true" /> +<Signal value="out forwardEmergency(int myID, int currentPosition)" attached="true" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5000" id="2923" > <father id="2948" num="1" /> -<cdparam x="465" y="1023" /> +<cdparam x="825" y="1023" /> <sizeparam width="312" height="250" minWidth="5" minHeight="2" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="0" maxX="392" minY="0" maxY="60" /> -<infoparam name="Block0" value="DangerAvoidanceStrategy" /> +<infoparam name="Block0" value="BrakeManagement" /> <TGConnectingPoint num="0" id="2899" /> <TGConnectingPoint num="1" id="2900" /> <TGConnectingPoint num="2" id="2901" /> @@ -6450,15 +6453,12 @@ <extraparam> <blockType data="block" color="-4072719" /> <CryptoBlock value="false" /> -<Attribute access="0" id="speed" value="" type="8" typeOther="" /> -<Attribute access="0" id="position" value="" type="8" typeOther="" /> -<Attribute access="0" id="currentPosition" value="" type="8" typeOther="" /> -<Attribute access="0" id="carinfo" value="" type="5" typeOther="CarInfo" /> <Attribute access="0" id="value" value="" type="8" typeOther="" /> -<Signal value="in brake(int speed, int currentPosition, int position)" attached="true" /> -<Signal value="out reducePower(int value)" attached="true" /> -<Signal value="out brakePower(int value)" attached="true" /> -<Signal value="out forwardEmergency(int myID, int currentPosition)" attached="true" /> +<Attribute access="0" id="deltaBrake" value="0" type="8" typeOther="" /> +<Attribute access="0" id="brakeMaxDuration" value="" type="8" typeOther="" /> +<Method value="applyBraking(int value)" /> +<Method value="brakingDone()" /> +<Signal value="in brake(int value)" attached="true" /> </extraparam> </SUBCOMPONENT> @@ -6499,11 +6499,11 @@ </COMPONENT> <SUBCOMPONENT type="5000" id="2973" > <father id="3048" num="0" /> -<cdparam x="903" y="707" /> -<sizeparam width="281" height="148" minWidth="5" minHeight="2" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="671" y="722" /> +<sizeparam width="220" height="156" minWidth="5" minHeight="2" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="517" minY="0" maxY="141" /> -<infoparam name="Block0" value="VehiculeDynamicsManagement" /> +<cdrectangleparam minX="0" maxX="578" minY="0" maxY="133" /> +<infoparam name="Block0" value="ObjectListManagement" /> <TGConnectingPoint num="0" id="2949" /> <TGConnectingPoint num="1" id="2950" /> <TGConnectingPoint num="2" id="2951" /> @@ -6531,8 +6531,8 @@ <extraparam> <blockType data="block" color="-4072719" /> <CryptoBlock value="false" /> -<Attribute access="0" id="speed" value="" type="8" typeOther="" /> -<Signal value="out getInfoOnSpeed(int speed)" attached="true" /> +<Attribute access="0" id="obstacle" value="0" type="8" typeOther="" /> +<Signal value="out getInfoOnObstacle(int obstacle)" attached="true" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5000" id="2998" > @@ -6587,11 +6587,11 @@ </SUBCOMPONENT> <SUBCOMPONENT type="5000" id="3023" > <father id="3048" num="2" /> -<cdparam x="671" y="722" /> -<sizeparam width="220" height="156" minWidth="5" minHeight="2" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="903" y="707" /> +<sizeparam width="281" height="148" minWidth="5" minHeight="2" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="578" minY="0" maxY="133" /> -<infoparam name="Block0" value="ObjectListManagement" /> +<cdrectangleparam minX="0" maxX="517" minY="0" maxY="141" /> +<infoparam name="Block0" value="VehiculeDynamicsManagement" /> <TGConnectingPoint num="0" id="2999" /> <TGConnectingPoint num="1" id="3000" /> <TGConnectingPoint num="2" id="3001" /> @@ -6619,8 +6619,8 @@ <extraparam> <blockType data="block" color="-4072719" /> <CryptoBlock value="false" /> -<Attribute access="0" id="obstacle" value="0" type="8" typeOther="" /> -<Signal value="out getInfoOnObstacle(int obstacle)" attached="true" /> +<Attribute access="0" id="speed" value="" type="8" typeOther="" /> +<Signal value="out getInfoOnSpeed(int speed)" attached="true" /> </extraparam> </SUBCOMPONENT> @@ -11066,7 +11066,7 @@ Otherwise, the most far away <COMPONENT type="301" id="4771" > <cdparam x="617" y="435" /> -<sizeparam width="83" height="15" minWidth="50" minHeight="20" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<sizeparam width="83" height="20" minWidth="50" minHeight="20" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="1400" minY="10" maxY="1400" /> <infoparam name="UML Note" value="Going fast? @@ -11094,7 +11094,7 @@ Otherwise, the most far away <COMPONENT type="301" id="4788" > <cdparam x="582" y="390" /> -<sizeparam width="212" height="15" minWidth="50" minHeight="20" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<sizeparam width="212" height="20" minWidth="50" minHeight="20" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="1400" minY="10" maxY="1400" /> <infoparam name="UML Note" value="Radar also detected an obstacle? @@ -11122,7 +11122,7 @@ Otherwise, the most far away <COMPONENT type="301" id="4805" > <cdparam x="775" y="658" /> -<sizeparam width="115" height="15" minWidth="50" minHeight="20" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<sizeparam width="115" height="20" minWidth="50" minHeight="20" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="1400" minY="10" maxY="1400" /> <infoparam name="UML Note" value="Obstacle ahead? @@ -11192,7 +11192,7 @@ Otherwise, the most far away <COMPONENT type="301" id="4849" > <cdparam x="765" y="511" /> -<sizeparam width="75" height="15" minWidth="50" minHeight="20" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<sizeparam width="75" height="20" minWidth="50" minHeight="20" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="1400" minY="10" maxY="1400" /> <infoparam name="UML Note" value="KnownID? @@ -11975,11 +11975,11 @@ Otherwise, the most far away </COMPONENT> <SUBCOMPONENT type="5362" id="5295" > <father id="5419" num="0" /> -<cdparam x="273" y="718" /> -<sizeparam width="333" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="716" y="757" /> +<sizeparam width="255" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="469" minY="0" maxY="250" /> -<infoparam name="TGComponent" value="CorrectnessChecking/out toPlausibityCheckMessage" /> +<cdrectangleparam minX="0" maxX="547" minY="0" maxY="250" /> +<infoparam name="TGComponent" value="PlausibilityCheck/in getInfoOnObstacle" /> <TGConnectingPoint num="0" id="5287" /> <TGConnectingPoint num="1" id="5288" /> <TGConnectingPoint num="2" id="5289" /> @@ -11989,16 +11989,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5293" /> <TGConnectingPoint num="7" id="5294" /> <extraparam> -<info value="CorrectnessChecking/out toPlausibityCheckMessage" channelName="CorrectnessChecking/out toPlausibityCheckMessage(int id, int position) #--# PlausibilityCheck/in getEmergencyMessageToVerify(int id, int position)" fullChannelName="AVATAR Design::CorrectnessChecking/out toPlausibityCheckMessage(int id, int position) #--# PlausibilityCheck/in getEmergencyMessageToVerify(int id, int position)" referenceDiagram="AVATAR Design" /> +<info value="PlausibilityCheck/in getInfoOnObstacle" channelName="PlausibilityCheck/in getInfoOnObstacle(int obstacle) #--# ObjectListManagement/out getInfoOnObstacle(int obstacle)" fullChannelName="AVATAR Design::PlausibilityCheck/in getInfoOnObstacle(int obstacle) #--# ObjectListManagement/out getInfoOnObstacle(int obstacle)" referenceDiagram="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5362" id="5304" > <father id="5419" num="1" /> -<cdparam x="273" y="673" /> -<sizeparam width="261" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="273" y="760" /> +<sizeparam width="241" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="541" minY="0" maxY="250" /> -<infoparam name="TGComponent" value="DSRSC_Management/out setCarPosition" /> +<cdrectangleparam minX="0" maxX="561" minY="0" maxY="250" /> +<infoparam name="TGComponent" value="PlausibilityCheck/in getInfoOnSpeed" /> <TGConnectingPoint num="0" id="5296" /> <TGConnectingPoint num="1" id="5297" /> <TGConnectingPoint num="2" id="5298" /> @@ -12008,16 +12008,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5302" /> <TGConnectingPoint num="7" id="5303" /> <extraparam> -<info value="DSRSC_Management/out setCarPosition" channelName="DSRSC_Management/out setCarPosition(int id, int position) #--# NeighbourhoodTableManagement/in addANode(int id, int position)" fullChannelName="AVATAR Design::DSRSC_Management/out setCarPosition(int id, int position) #--# NeighbourhoodTableManagement/in addANode(int id, int position)" referenceDiagram="AVATAR Design" /> +<info value="PlausibilityCheck/in getInfoOnSpeed" channelName="PlausibilityCheck/in getInfoOnSpeed(int speed) #--# VehiculeDynamicsManagement/out getInfoOnSpeed(int speed)" fullChannelName="AVATAR Design::PlausibilityCheck/in getInfoOnSpeed(int speed) #--# VehiculeDynamicsManagement/out getInfoOnSpeed(int speed)" referenceDiagram="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5362" id="5313" > <father id="5419" num="2" /> -<cdparam x="273" y="629" /> -<sizeparam width="381" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="720" y="714" /> +<sizeparam width="236" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="421" minY="0" maxY="250" /> -<infoparam name="TGComponent" value="DSRSC_Management/out forwardEmergencyBrakingMessage" /> +<cdrectangleparam minX="0" maxX="566" minY="0" maxY="250" /> +<infoparam name="TGComponent" value="DangerAvoidanceStrategy/in brake" /> <TGConnectingPoint num="0" id="5305" /> <TGConnectingPoint num="1" id="5306" /> <TGConnectingPoint num="2" id="5307" /> @@ -12027,16 +12027,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5311" /> <TGConnectingPoint num="7" id="5312" /> <extraparam> -<info value="DSRSC_Management/out forwardEmergencyBrakingMessage" channelName="DSRSC_Management/out forwardEmergencyBrakingMessage(int id, int position) #--# CorrectnessChecking/in getEmergencyBrakingMessage(int id, int position)" fullChannelName="AVATAR Design::DSRSC_Management/out forwardEmergencyBrakingMessage(int id, int position) #--# CorrectnessChecking/in getEmergencyBrakingMessage(int id, int position)" referenceDiagram="AVATAR Design" /> +<info value="DangerAvoidanceStrategy/in brake" channelName="DangerAvoidanceStrategy/in brake(int speed, int currentPosition, int position) #--# PlausibilityCheck/out brake(int speed, int currentPosition, int position)" fullChannelName="AVATAR Design::DangerAvoidanceStrategy/in brake(int speed, int currentPosition, int position) #--# PlausibilityCheck/out brake(int speed, int currentPosition, int position)" referenceDiagram="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5362" id="5322" > <father id="5419" num="3" /> -<cdparam x="716" y="584" /> -<sizeparam width="320" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="717" y="671" /> +<sizeparam width="279" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="482" minY="0" maxY="250" /> -<infoparam name="TGComponent" value="NeighbourhoodTableManagement/out sendTable" /> +<cdrectangleparam minX="0" maxX="523" minY="0" maxY="250" /> +<infoparam name="TGComponent" value="DangerAvoidanceStrategy/out brakePower" /> <TGConnectingPoint num="0" id="5314" /> <TGConnectingPoint num="1" id="5315" /> <TGConnectingPoint num="2" id="5316" /> @@ -12046,16 +12046,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5320" /> <TGConnectingPoint num="7" id="5321" /> <extraparam> -<info value="NeighbourhoodTableManagement/out sendTable" channelName="NeighbourhoodTableManagement/out sendTable(int currentPosition, NodeList list) #--# PlausibilityCheck/in getNodeList(int position, NodeList list)" fullChannelName="AVATAR Design::NeighbourhoodTableManagement/out sendTable(int currentPosition, NodeList list) #--# PlausibilityCheck/in getNodeList(int position, NodeList list)" referenceDiagram="AVATAR Design" /> +<info value="DangerAvoidanceStrategy/out brakePower" channelName="DangerAvoidanceStrategy/out brakePower(int value) #--# BrakeManagement/in brake(int value)" fullChannelName="AVATAR Design::DangerAvoidanceStrategy/out brakePower(int value) #--# BrakeManagement/in brake(int value)" referenceDiagram="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5362" id="5331" > <father id="5419" num="4" /> -<cdparam x="716" y="539" /> -<sizeparam width="333" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="717" y="627" /> +<sizeparam width="285" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="469" minY="0" maxY="250" /> -<infoparam name="TGComponent" value="CorrectnessChecking/out toPlausibityCheckMessage" /> +<cdrectangleparam minX="0" maxX="517" minY="0" maxY="250" /> +<infoparam name="TGComponent" value="DangerAvoidanceStrategy/out reducePower" /> <TGConnectingPoint num="0" id="5323" /> <TGConnectingPoint num="1" id="5324" /> <TGConnectingPoint num="2" id="5325" /> @@ -12065,16 +12065,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5329" /> <TGConnectingPoint num="7" id="5330" /> <extraparam> -<info value="CorrectnessChecking/out toPlausibityCheckMessage" channelName="CorrectnessChecking/out toPlausibityCheckMessage(int id, int position) #--# PlausibilityCheck/in getEmergencyMessageToVerify(int id, int position)" fullChannelName="" referenceDiagram="AVATAR Design" /> +<info value="DangerAvoidanceStrategy/out reducePower" channelName="DangerAvoidanceStrategy/out reducePower(int value) #--# DrivingPowerReductionStrategy/in getReducePowerOrder(int value)" fullChannelName="AVATAR Design::DangerAvoidanceStrategy/out reducePower(int value) #--# DrivingPowerReductionStrategy/in getReducePowerOrder(int value)" referenceDiagram="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5362" id="5340" > <father id="5419" num="5" /> -<cdparam x="272" y="584" /> -<sizeparam width="386" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="272" y="540" /> +<sizeparam width="261" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="416" minY="0" maxY="250" /> -<infoparam name="TGComponent" value="DSRSC_Management/in broadcastEmergencyBrakingMessage" /> +<cdrectangleparam minX="0" maxX="541" minY="0" maxY="250" /> +<infoparam name="TGComponent" value="DSRSC_Management/out setCarPosition" /> <TGConnectingPoint num="0" id="5332" /> <TGConnectingPoint num="1" id="5333" /> <TGConnectingPoint num="2" id="5334" /> @@ -12084,16 +12084,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5338" /> <TGConnectingPoint num="7" id="5339" /> <extraparam> -<info value="DSRSC_Management/in broadcastEmergencyBrakingMessage" channelName="DSRSC_Management/in broadcastEmergencyBrakingMessage(int id, int position) #--# DangerAvoidanceStrategy/out forwardEmergency(int myID, int currentPosition)" fullChannelName="AVATAR Design::DSRSC_Management/in broadcastEmergencyBrakingMessage(int id, int position) #--# DangerAvoidanceStrategy/out forwardEmergency(int myID, int currentPosition)" referenceDiagram="AVATAR Design" /> +<info value="DSRSC_Management/out setCarPosition" channelName="DSRSC_Management/out setCarPosition(int id, int position) #--# NeighbourhoodTableManagement/in addANode(int id, int position)" fullChannelName="" referenceDiagram="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5362" id="5349" > <father id="5419" num="6" /> -<cdparam x="272" y="540" /> -<sizeparam width="261" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="272" y="584" /> +<sizeparam width="386" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="541" minY="0" maxY="250" /> -<infoparam name="TGComponent" value="DSRSC_Management/out setCarPosition" /> +<cdrectangleparam minX="0" maxX="416" minY="0" maxY="250" /> +<infoparam name="TGComponent" value="DSRSC_Management/in broadcastEmergencyBrakingMessage" /> <TGConnectingPoint num="0" id="5341" /> <TGConnectingPoint num="1" id="5342" /> <TGConnectingPoint num="2" id="5343" /> @@ -12103,16 +12103,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5347" /> <TGConnectingPoint num="7" id="5348" /> <extraparam> -<info value="DSRSC_Management/out setCarPosition" channelName="DSRSC_Management/out setCarPosition(int id, int position) #--# NeighbourhoodTableManagement/in addANode(int id, int position)" fullChannelName="" referenceDiagram="AVATAR Design" /> +<info value="DSRSC_Management/in broadcastEmergencyBrakingMessage" channelName="DSRSC_Management/in broadcastEmergencyBrakingMessage(int id, int position) #--# DangerAvoidanceStrategy/out forwardEmergency(int myID, int currentPosition)" fullChannelName="AVATAR Design::DSRSC_Management/in broadcastEmergencyBrakingMessage(int id, int position) #--# DangerAvoidanceStrategy/out forwardEmergency(int myID, int currentPosition)" referenceDiagram="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5362" id="5358" > <father id="5419" num="7" /> -<cdparam x="717" y="627" /> -<sizeparam width="285" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="716" y="539" /> +<sizeparam width="333" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="517" minY="0" maxY="250" /> -<infoparam name="TGComponent" value="DangerAvoidanceStrategy/out reducePower" /> +<cdrectangleparam minX="0" maxX="469" minY="0" maxY="250" /> +<infoparam name="TGComponent" value="CorrectnessChecking/out toPlausibityCheckMessage" /> <TGConnectingPoint num="0" id="5350" /> <TGConnectingPoint num="1" id="5351" /> <TGConnectingPoint num="2" id="5352" /> @@ -12122,16 +12122,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5356" /> <TGConnectingPoint num="7" id="5357" /> <extraparam> -<info value="DangerAvoidanceStrategy/out reducePower" channelName="DangerAvoidanceStrategy/out reducePower(int value) #--# DrivingPowerReductionStrategy/in getReducePowerOrder(int value)" fullChannelName="AVATAR Design::DangerAvoidanceStrategy/out reducePower(int value) #--# DrivingPowerReductionStrategy/in getReducePowerOrder(int value)" referenceDiagram="AVATAR Design" /> +<info value="CorrectnessChecking/out toPlausibityCheckMessage" channelName="CorrectnessChecking/out toPlausibityCheckMessage(int id, int position) #--# PlausibilityCheck/in getEmergencyMessageToVerify(int id, int position)" fullChannelName="" referenceDiagram="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5362" id="5367" > <father id="5419" num="8" /> -<cdparam x="717" y="671" /> -<sizeparam width="279" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="716" y="584" /> +<sizeparam width="320" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="523" minY="0" maxY="250" /> -<infoparam name="TGComponent" value="DangerAvoidanceStrategy/out brakePower" /> +<cdrectangleparam minX="0" maxX="482" minY="0" maxY="250" /> +<infoparam name="TGComponent" value="NeighbourhoodTableManagement/out sendTable" /> <TGConnectingPoint num="0" id="5359" /> <TGConnectingPoint num="1" id="5360" /> <TGConnectingPoint num="2" id="5361" /> @@ -12141,16 +12141,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5365" /> <TGConnectingPoint num="7" id="5366" /> <extraparam> -<info value="DangerAvoidanceStrategy/out brakePower" channelName="DangerAvoidanceStrategy/out brakePower(int value) #--# BrakeManagement/in brake(int value)" fullChannelName="AVATAR Design::DangerAvoidanceStrategy/out brakePower(int value) #--# BrakeManagement/in brake(int value)" referenceDiagram="AVATAR Design" /> +<info value="NeighbourhoodTableManagement/out sendTable" channelName="NeighbourhoodTableManagement/out sendTable(int currentPosition, NodeList list) #--# PlausibilityCheck/in getNodeList(int position, NodeList list)" fullChannelName="AVATAR Design::NeighbourhoodTableManagement/out sendTable(int currentPosition, NodeList list) #--# PlausibilityCheck/in getNodeList(int position, NodeList list)" referenceDiagram="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5362" id="5376" > <father id="5419" num="9" /> -<cdparam x="720" y="714" /> -<sizeparam width="236" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="273" y="629" /> +<sizeparam width="381" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="566" minY="0" maxY="250" /> -<infoparam name="TGComponent" value="DangerAvoidanceStrategy/in brake" /> +<cdrectangleparam minX="0" maxX="421" minY="0" maxY="250" /> +<infoparam name="TGComponent" value="DSRSC_Management/out forwardEmergencyBrakingMessage" /> <TGConnectingPoint num="0" id="5368" /> <TGConnectingPoint num="1" id="5369" /> <TGConnectingPoint num="2" id="5370" /> @@ -12160,16 +12160,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5374" /> <TGConnectingPoint num="7" id="5375" /> <extraparam> -<info value="DangerAvoidanceStrategy/in brake" channelName="DangerAvoidanceStrategy/in brake(int speed, int currentPosition, int position) #--# PlausibilityCheck/out brake(int speed, int currentPosition, int position)" fullChannelName="AVATAR Design::DangerAvoidanceStrategy/in brake(int speed, int currentPosition, int position) #--# PlausibilityCheck/out brake(int speed, int currentPosition, int position)" referenceDiagram="AVATAR Design" /> +<info value="DSRSC_Management/out forwardEmergencyBrakingMessage" channelName="DSRSC_Management/out forwardEmergencyBrakingMessage(int id, int position) #--# CorrectnessChecking/in getEmergencyBrakingMessage(int id, int position)" fullChannelName="AVATAR Design::DSRSC_Management/out forwardEmergencyBrakingMessage(int id, int position) #--# CorrectnessChecking/in getEmergencyBrakingMessage(int id, int position)" referenceDiagram="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5362" id="5385" > <father id="5419" num="10" /> -<cdparam x="273" y="760" /> -<sizeparam width="241" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="273" y="673" /> +<sizeparam width="261" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="561" minY="0" maxY="250" /> -<infoparam name="TGComponent" value="PlausibilityCheck/in getInfoOnSpeed" /> +<cdrectangleparam minX="0" maxX="541" minY="0" maxY="250" /> +<infoparam name="TGComponent" value="DSRSC_Management/out setCarPosition" /> <TGConnectingPoint num="0" id="5377" /> <TGConnectingPoint num="1" id="5378" /> <TGConnectingPoint num="2" id="5379" /> @@ -12179,16 +12179,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5383" /> <TGConnectingPoint num="7" id="5384" /> <extraparam> -<info value="PlausibilityCheck/in getInfoOnSpeed" channelName="PlausibilityCheck/in getInfoOnSpeed(int speed) #--# VehiculeDynamicsManagement/out getInfoOnSpeed(int speed)" fullChannelName="AVATAR Design::PlausibilityCheck/in getInfoOnSpeed(int speed) #--# VehiculeDynamicsManagement/out getInfoOnSpeed(int speed)" referenceDiagram="AVATAR Design" /> +<info value="DSRSC_Management/out setCarPosition" channelName="DSRSC_Management/out setCarPosition(int id, int position) #--# NeighbourhoodTableManagement/in addANode(int id, int position)" fullChannelName="AVATAR Design::DSRSC_Management/out setCarPosition(int id, int position) #--# NeighbourhoodTableManagement/in addANode(int id, int position)" referenceDiagram="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5362" id="5394" > <father id="5419" num="11" /> -<cdparam x="716" y="757" /> -<sizeparam width="255" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="273" y="718" /> +<sizeparam width="333" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="547" minY="0" maxY="250" /> -<infoparam name="TGComponent" value="PlausibilityCheck/in getInfoOnObstacle" /> +<cdrectangleparam minX="0" maxX="469" minY="0" maxY="250" /> +<infoparam name="TGComponent" value="CorrectnessChecking/out toPlausibityCheckMessage" /> <TGConnectingPoint num="0" id="5386" /> <TGConnectingPoint num="1" id="5387" /> <TGConnectingPoint num="2" id="5388" /> @@ -12198,7 +12198,7 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5392" /> <TGConnectingPoint num="7" id="5393" /> <extraparam> -<info value="PlausibilityCheck/in getInfoOnObstacle" channelName="PlausibilityCheck/in getInfoOnObstacle(int obstacle) #--# ObjectListManagement/out getInfoOnObstacle(int obstacle)" fullChannelName="AVATAR Design::PlausibilityCheck/in getInfoOnObstacle(int obstacle) #--# ObjectListManagement/out getInfoOnObstacle(int obstacle)" referenceDiagram="AVATAR Design" /> +<info value="CorrectnessChecking/out toPlausibityCheckMessage" channelName="CorrectnessChecking/out toPlausibityCheckMessage(int id, int position) #--# PlausibilityCheck/in getEmergencyMessageToVerify(int id, int position)" fullChannelName="AVATAR Design::CorrectnessChecking/out toPlausibityCheckMessage(int id, int position) #--# PlausibilityCheck/in getEmergencyMessageToVerify(int id, int position)" referenceDiagram="AVATAR Design" /> </extraparam> </SUBCOMPONENT> @@ -12275,11 +12275,11 @@ Otherwise, the most far away </COMPONENT> <SUBCOMPONENT type="5352" id="5453" > <father id="5505" num="0" /> -<cdparam x="1070" y="184" /> -<sizeparam width="164" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="1068" y="318" /> +<sizeparam width="311" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="174" minY="0" maxY="191" /> -<infoparam name="TGComponent" value="AVATAR Design::CSCU" /> +<cdrectangleparam minX="0" maxX="27" minY="0" maxY="191" /> +<infoparam name="TGComponent" value="AVATAR Design::VehiculeDynamicsManagement" /> <TGConnectingPoint num="0" id="5445" /> <TGConnectingPoint num="1" id="5446" /> <TGConnectingPoint num="2" id="5447" /> @@ -12289,16 +12289,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5451" /> <TGConnectingPoint num="7" id="5452" /> <extraparam> -<info value="AVATAR Design::CSCU" taskName="CSCU" referenceTaskName="AVATAR Design" /> +<info value="AVATAR Design::VehiculeDynamicsManagement" taskName="VehiculeDynamicsManagement" referenceTaskName="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5352" id="5462" > <father id="5505" num="1" /> -<cdparam x="1071" y="228" /> -<sizeparam width="265" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="1070" y="273" /> +<sizeparam width="229" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="73" minY="0" maxY="191" /> -<infoparam name="TGComponent" value="AVATAR Design::ObjectListManagement" /> +<cdrectangleparam minX="0" maxX="109" minY="0" maxY="191" /> +<infoparam name="TGComponent" value="AVATAR Design::PlausibilityCheck" /> <TGConnectingPoint num="0" id="5454" /> <TGConnectingPoint num="1" id="5455" /> <TGConnectingPoint num="2" id="5456" /> @@ -12308,16 +12308,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5460" /> <TGConnectingPoint num="7" id="5461" /> <extraparam> -<info value="AVATAR Design::ObjectListManagement" taskName="ObjectListManagement" referenceTaskName="AVATAR Design" /> +<info value="AVATAR Design::PlausibilityCheck" taskName="PlausibilityCheck" referenceTaskName="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5352" id="5471" > <father id="5505" num="2" /> -<cdparam x="1070" y="273" /> -<sizeparam width="229" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="1071" y="228" /> +<sizeparam width="265" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="109" minY="0" maxY="191" /> -<infoparam name="TGComponent" value="AVATAR Design::PlausibilityCheck" /> +<cdrectangleparam minX="0" maxX="73" minY="0" maxY="191" /> +<infoparam name="TGComponent" value="AVATAR Design::ObjectListManagement" /> <TGConnectingPoint num="0" id="5463" /> <TGConnectingPoint num="1" id="5464" /> <TGConnectingPoint num="2" id="5465" /> @@ -12327,16 +12327,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5469" /> <TGConnectingPoint num="7" id="5470" /> <extraparam> -<info value="AVATAR Design::PlausibilityCheck" taskName="PlausibilityCheck" referenceTaskName="AVATAR Design" /> +<info value="AVATAR Design::ObjectListManagement" taskName="ObjectListManagement" referenceTaskName="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5352" id="5480" > <father id="5505" num="3" /> -<cdparam x="1068" y="318" /> -<sizeparam width="311" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="1070" y="184" /> +<sizeparam width="164" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="27" minY="0" maxY="191" /> -<infoparam name="TGComponent" value="AVATAR Design::VehiculeDynamicsManagement" /> +<cdrectangleparam minX="0" maxX="174" minY="0" maxY="191" /> +<infoparam name="TGComponent" value="AVATAR Design::CSCU" /> <TGConnectingPoint num="0" id="5472" /> <TGConnectingPoint num="1" id="5473" /> <TGConnectingPoint num="2" id="5474" /> @@ -12346,7 +12346,7 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5478" /> <TGConnectingPoint num="7" id="5479" /> <extraparam> -<info value="AVATAR Design::VehiculeDynamicsManagement" taskName="VehiculeDynamicsManagement" referenceTaskName="AVATAR Design" /> +<info value="AVATAR Design::CSCU" taskName="CSCU" referenceTaskName="AVATAR Design" /> </extraparam> </SUBCOMPONENT> @@ -12387,11 +12387,11 @@ Otherwise, the most far away </COMPONENT> <SUBCOMPONENT type="5352" id="5514" > <father id="5566" num="0" /> -<cdparam x="77" y="178" /> -<sizeparam width="222" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="75" y="310" /> +<sizeparam width="254" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="139" minY="0" maxY="181" /> -<infoparam name="TGComponent" value="AVATAR Design::Communication" /> +<cdrectangleparam minX="0" maxX="107" minY="0" maxY="181" /> +<infoparam name="TGComponent" value="AVATAR Design::CorrectnessChecking" /> <TGConnectingPoint num="0" id="5506" /> <TGConnectingPoint num="1" id="5507" /> <TGConnectingPoint num="2" id="5508" /> @@ -12401,16 +12401,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5512" /> <TGConnectingPoint num="7" id="5513" /> <extraparam> -<info value="AVATAR Design::Communication" taskName="Communication" referenceTaskName="AVATAR Design" /> +<info value="AVATAR Design::CorrectnessChecking" taskName="CorrectnessChecking" referenceTaskName="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5352" id="5523" > <father id="5566" num="1" /> -<cdparam x="76" y="221" /> -<sizeparam width="251" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="75" y="266" /> +<sizeparam width="330" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="110" minY="0" maxY="181" /> -<infoparam name="TGComponent" value="AVATAR Design::DSRSC_Management" /> +<cdrectangleparam minX="0" maxX="31" minY="0" maxY="181" /> +<infoparam name="TGComponent" value="AVATAR Design::NeighbourhoodTableManagement" /> <TGConnectingPoint num="0" id="5515" /> <TGConnectingPoint num="1" id="5516" /> <TGConnectingPoint num="2" id="5517" /> @@ -12420,16 +12420,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5521" /> <TGConnectingPoint num="7" id="5522" /> <extraparam> -<info value="AVATAR Design::DSRSC_Management" taskName="DSRSC_Management" referenceTaskName="AVATAR Design" /> +<info value="AVATAR Design::NeighbourhoodTableManagement" taskName="NeighbourhoodTableManagement" referenceTaskName="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5352" id="5532" > <father id="5566" num="2" /> -<cdparam x="75" y="266" /> -<sizeparam width="330" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="76" y="221" /> +<sizeparam width="251" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="31" minY="0" maxY="181" /> -<infoparam name="TGComponent" value="AVATAR Design::NeighbourhoodTableManagement" /> +<cdrectangleparam minX="0" maxX="110" minY="0" maxY="181" /> +<infoparam name="TGComponent" value="AVATAR Design::DSRSC_Management" /> <TGConnectingPoint num="0" id="5524" /> <TGConnectingPoint num="1" id="5525" /> <TGConnectingPoint num="2" id="5526" /> @@ -12439,16 +12439,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5530" /> <TGConnectingPoint num="7" id="5531" /> <extraparam> -<info value="AVATAR Design::NeighbourhoodTableManagement" taskName="NeighbourhoodTableManagement" referenceTaskName="AVATAR Design" /> +<info value="AVATAR Design::DSRSC_Management" taskName="DSRSC_Management" referenceTaskName="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5352" id="5541" > <father id="5566" num="3" /> -<cdparam x="75" y="310" /> -<sizeparam width="254" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="77" y="178" /> +<sizeparam width="222" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="107" minY="0" maxY="181" /> -<infoparam name="TGComponent" value="AVATAR Design::CorrectnessChecking" /> +<cdrectangleparam minX="0" maxX="139" minY="0" maxY="181" /> +<infoparam name="TGComponent" value="AVATAR Design::Communication" /> <TGConnectingPoint num="0" id="5533" /> <TGConnectingPoint num="1" id="5534" /> <TGConnectingPoint num="2" id="5535" /> @@ -12458,7 +12458,7 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5539" /> <TGConnectingPoint num="7" id="5540" /> <extraparam> -<info value="AVATAR Design::CorrectnessChecking" taskName="CorrectnessChecking" referenceTaskName="AVATAR Design" /> +<info value="AVATAR Design::Communication" taskName="Communication" referenceTaskName="AVATAR Design" /> </extraparam> </SUBCOMPONENT> @@ -12499,11 +12499,11 @@ Otherwise, the most far away </COMPONENT> <SUBCOMPONENT type="5352" id="5575" > <father id="5609" num="0" /> -<cdparam x="72" y="414" /> -<sizeparam width="157" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="71" y="460" /> +<sizeparam width="312" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="199" minY="0" maxY="93" /> -<infoparam name="TGComponent" value="AVATAR Design::PTC" /> +<cdrectangleparam minX="0" maxX="44" minY="0" maxY="93" /> +<infoparam name="TGComponent" value="AVATAR Design::DrivingPowerReductionStrategy" /> <TGConnectingPoint num="0" id="5567" /> <TGConnectingPoint num="1" id="5568" /> <TGConnectingPoint num="2" id="5569" /> @@ -12513,16 +12513,16 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5573" /> <TGConnectingPoint num="7" id="5574" /> <extraparam> -<info value="AVATAR Design::PTC" taskName="PTC" referenceTaskName="AVATAR Design" /> +<info value="AVATAR Design::DrivingPowerReductionStrategy" taskName="DrivingPowerReductionStrategy" referenceTaskName="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5352" id="5584" > <father id="5609" num="1" /> -<cdparam x="71" y="460" /> -<sizeparam width="312" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="72" y="414" /> +<sizeparam width="157" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="44" minY="0" maxY="93" /> -<infoparam name="TGComponent" value="AVATAR Design::DrivingPowerReductionStrategy" /> +<cdrectangleparam minX="0" maxX="199" minY="0" maxY="93" /> +<infoparam name="TGComponent" value="AVATAR Design::PTC" /> <TGConnectingPoint num="0" id="5576" /> <TGConnectingPoint num="1" id="5577" /> <TGConnectingPoint num="2" id="5578" /> @@ -12532,7 +12532,7 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5582" /> <TGConnectingPoint num="7" id="5583" /> <extraparam> -<info value="AVATAR Design::DrivingPowerReductionStrategy" taskName="DrivingPowerReductionStrategy" referenceTaskName="AVATAR Design" /> +<info value="AVATAR Design::PTC" taskName="PTC" referenceTaskName="AVATAR Design" /> </extraparam> </SUBCOMPONENT> @@ -12573,11 +12573,11 @@ Otherwise, the most far away </COMPONENT> <SUBCOMPONENT type="5352" id="5618" > <father id="5661" num="0" /> -<cdparam x="1099" y="421" /> -<sizeparam width="157" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="1100" y="515" /> +<sizeparam width="241" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="162" minY="0" maxY="142" /> -<infoparam name="TGComponent" value="AVATAR Design::BCU" /> +<cdrectangleparam minX="0" maxX="78" minY="0" maxY="142" /> +<infoparam name="TGComponent" value="AVATAR Design::BrakeManagement" /> <TGConnectingPoint num="0" id="5610" /> <TGConnectingPoint num="1" id="5611" /> <TGConnectingPoint num="2" id="5612" /> @@ -12587,7 +12587,7 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5616" /> <TGConnectingPoint num="7" id="5617" /> <extraparam> -<info value="AVATAR Design::BCU" taskName="BCU" referenceTaskName="AVATAR Design" /> +<info value="AVATAR Design::BrakeManagement" taskName="BrakeManagement" referenceTaskName="AVATAR Design" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5352" id="5627" > @@ -12611,11 +12611,11 @@ Otherwise, the most far away </SUBCOMPONENT> <SUBCOMPONENT type="5352" id="5636" > <father id="5661" num="2" /> -<cdparam x="1100" y="515" /> -<sizeparam width="241" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<cdparam x="1099" y="421" /> +<sizeparam width="157" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="78" minY="0" maxY="142" /> -<infoparam name="TGComponent" value="AVATAR Design::BrakeManagement" /> +<cdrectangleparam minX="0" maxX="162" minY="0" maxY="142" /> +<infoparam name="TGComponent" value="AVATAR Design::BCU" /> <TGConnectingPoint num="0" id="5628" /> <TGConnectingPoint num="1" id="5629" /> <TGConnectingPoint num="2" id="5630" /> @@ -12625,7 +12625,7 @@ Otherwise, the most far away <TGConnectingPoint num="6" id="5634" /> <TGConnectingPoint num="7" id="5635" /> <extraparam> -<info value="AVATAR Design::BrakeManagement" taskName="BrakeManagement" referenceTaskName="AVATAR Design" /> +<info value="AVATAR Design::BCU" taskName="BCU" referenceTaskName="AVATAR Design" /> </extraparam> </SUBCOMPONENT> @@ -12782,16 +12782,16 @@ Otherwise, the most far away <cdparam x="85" y="292" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="87" y="224" id="5677" /> -<P2 x="87" y="172" id="5706" /> +<P1 x="87" y="224" id="5687" /> +<P2 x="87" y="172" id="5716" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="1601" id="5676" > <cdparam x="144" y="210" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="186" y="172" id="5716" /> -<P2 x="187" y="224" id="5687" /> +<P1 x="186" y="172" id="5706" /> +<P2 x="187" y="224" id="5677" /> <AutomaticDrawing data="true" /> </CONNECTOR> <COMPONENT type="1608" id="5705" > @@ -12811,11 +12811,11 @@ Otherwise, the most far away </COMPONENT> <SUBCOMPONENT type="1605" id="5686" > <father id="5705" num="0" /> -<cdparam x="77" y="224" /> +<cdparam x="177" y="224" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="54" /> -<infoparam name="Primitive port - Port DE" value="outSoclib" /> +<infoparam name="Primitive port - Port DE" value="inSoclib" /> <TGConnectingPoint num="0" id="5677" /> <TGConnectingPoint num="1" id="5678" /> <TGConnectingPoint num="2" id="5679" /> @@ -12826,16 +12826,16 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5684" /> <TGConnectingPoint num="8" id="5685" /> <extraparam> -<Prop commName="outSoclib" commType="0" origin="1" type="int" sensitive="false" sensitive_method="" /> +<Prop commName="inSoclib" commType="0" origin="0" type="int" sensitive="false" sensitive_method="" nbits="0" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1605" id="5696" > <father id="5705" num="1" /> -<cdparam x="177" y="224" /> +<cdparam x="77" y="224" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="54" /> -<infoparam name="Primitive port - Port DE" value="inSoclib" /> +<infoparam name="Primitive port - Port DE" value="outSoclib" /> <TGConnectingPoint num="0" id="5687" /> <TGConnectingPoint num="1" id="5688" /> <TGConnectingPoint num="2" id="5689" /> @@ -12846,7 +12846,7 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5694" /> <TGConnectingPoint num="8" id="5695" /> <extraparam> -<Prop commName="inSoclib" commType="0" origin="0" type="int" sensitive="false" sensitive_method="" /> +<Prop commName="outSoclib" commType="0" origin="1" type="int" sensitive="false" sensitive_method="" nbits="0" /> </extraparam> </SUBCOMPONENT> @@ -12885,16 +12885,16 @@ Otherwise, the most far away out.write(1+rand()%5); out.write(3+rand()%7); } - " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> + " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1606" id="5715" > <father id="5734" num="0" /> -<cdparam x="77" y="152" /> +<cdparam x="176" y="152" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="79" /> -<infoparam name="Primitive port - Port Converter" value="in" /> +<infoparam name="Primitive port - Port Converter" value="out" /> <TGConnectingPoint num="0" id="5706" /> <TGConnectingPoint num="1" id="5707" /> <TGConnectingPoint num="2" id="5708" /> @@ -12905,16 +12905,16 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5713" /> <TGConnectingPoint num="8" id="5714" /> <extraparam> -<Prop commName="in" commType="0" origin="0" period="-1.0" time="s" rate="-1" delay="-1" type="sc_uint<32>" /> +<Prop commName="out" commType="0" origin="1" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1606" id="5725" > <father id="5734" num="1" /> -<cdparam x="176" y="152" /> +<cdparam x="77" y="152" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="79" /> -<infoparam name="Primitive port - Port Converter" value="out" /> +<infoparam name="Primitive port - Port Converter" value="in" /> <TGConnectingPoint num="0" id="5716" /> <TGConnectingPoint num="1" id="5717" /> <TGConnectingPoint num="2" id="5718" /> @@ -12925,7 +12925,7 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5723" /> <TGConnectingPoint num="8" id="5724" /> <extraparam> -<Prop commName="out" commType="0" origin="1" period="-1.0" time="s" rate="-1" delay="-1" type="sc_uint<32>" /> +<Prop commName="in" commType="0" origin="0" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> </extraparam> </SUBCOMPONENT> @@ -12937,16 +12937,16 @@ Otherwise, the most far away <cdparam x="203" y="358" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="203" y="358" id="5746" /> -<P2 x="229" y="253" id="5785" /> +<P1 x="203" y="358" id="5756" /> +<P2 x="229" y="233" id="5775" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="1601" id="5745" > <cdparam x="269" y="253" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="269" y="253" id="5775" /> -<P2 x="274" y="358" id="5756" /> +<P1 x="269" y="233" id="5785" /> +<P2 x="274" y="358" id="5746" /> <AutomaticDrawing data="true" /> </CONNECTOR> <COMPONENT type="1608" id="5774" > @@ -12966,11 +12966,11 @@ Otherwise, the most far away </COMPONENT> <SUBCOMPONENT type="1605" id="5755" > <father id="5774" num="0" /> -<cdparam x="193" y="358" /> +<cdparam x="264" y="358" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="outSoclib" /> +<infoparam name="Primitive port - Port DE" value="inSoclib" /> <TGConnectingPoint num="0" id="5746" /> <TGConnectingPoint num="1" id="5747" /> <TGConnectingPoint num="2" id="5748" /> @@ -12981,16 +12981,16 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5753" /> <TGConnectingPoint num="8" id="5754" /> <extraparam> -<Prop commName="outSoclib" commType="0" origin="1" type="int" sensitive="false" sensitive_method="" /> +<Prop commName="inSoclib" commType="0" origin="0" type="int" sensitive="false" sensitive_method="" nbits="0" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1605" id="5765" > <father id="5774" num="1" /> -<cdparam x="264" y="358" /> +<cdparam x="193" y="358" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="inSoclib" /> +<infoparam name="Primitive port - Port DE" value="outSoclib" /> <TGConnectingPoint num="0" id="5756" /> <TGConnectingPoint num="1" id="5757" /> <TGConnectingPoint num="2" id="5758" /> @@ -13001,7 +13001,7 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5763" /> <TGConnectingPoint num="8" id="5764" /> <extraparam> -<Prop commName="inSoclib" commType="0" origin="0" type="int" sensitive="false" sensitive_method="" /> +<Prop commName="outSoclib" commType="0" origin="1" type="int" sensitive="false" sensitive_method="" nbits="0" /> </extraparam> </SUBCOMPONENT> @@ -13039,16 +13039,16 @@ Otherwise, the most far away <Attribute period="1.0" time="ms" processCode="void processing() { out.write(rand()%180); } - " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> + " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1606" id="5784" > <father id="5803" num="0" /> -<cdparam x="259" y="233" /> +<cdparam x="219" y="233" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port Converter" value="out" /> +<infoparam name="Primitive port - Port Converter" value="in" /> <TGConnectingPoint num="0" id="5775" /> <TGConnectingPoint num="1" id="5776" /> <TGConnectingPoint num="2" id="5777" /> @@ -13059,16 +13059,16 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5782" /> <TGConnectingPoint num="8" id="5783" /> <extraparam> -<Prop commName="out" commType="0" origin="1" period="-1.0" time="s" rate="-1" delay="-1" type="sc_uint<32>" /> +<Prop commName="in" commType="0" origin="0" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1606" id="5794" > <father id="5803" num="1" /> -<cdparam x="219" y="233" /> +<cdparam x="259" y="233" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port Converter" value="in" /> +<infoparam name="Primitive port - Port Converter" value="out" /> <TGConnectingPoint num="0" id="5785" /> <TGConnectingPoint num="1" id="5786" /> <TGConnectingPoint num="2" id="5787" /> @@ -13079,7 +13079,7 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5792" /> <TGConnectingPoint num="8" id="5793" /> <extraparam> -<Prop commName="in" commType="0" origin="0" period="-1.0" time="s" rate="-1" delay="-1" type="sc_uint<32>" /> +<Prop commName="out" commType="0" origin="1" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> </extraparam> </SUBCOMPONENT> @@ -13091,16 +13091,16 @@ Otherwise, the most far away <cdparam x="283" y="313" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="port" /> -<P1 x="283" y="313" id="5815" /> -<P2 x="272" y="247" id="5844" /> +<P1 x="283" y="313" id="5825" /> +<P2 x="272" y="227" id="5854" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="1601" id="5814" > <cdparam x="330" y="245" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="port" /> -<P1 x="330" y="247" id="5854" /> -<P2 x="331" y="313" id="5825" /> +<P1 x="330" y="227" id="5844" /> +<P2 x="331" y="313" id="5815" /> <AutomaticDrawing data="true" /> </CONNECTOR> <COMPONENT type="1608" id="5843" > @@ -13120,11 +13120,11 @@ Otherwise, the most far away </COMPONENT> <SUBCOMPONENT type="1605" id="5824" > <father id="5843" num="0" /> -<cdparam x="273" y="313" /> +<cdparam x="321" y="313" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="61" /> -<infoparam name="Primitive port - Port DE" value="outSoclib" /> +<infoparam name="Primitive port - Port DE" value="inSoclib" /> <TGConnectingPoint num="0" id="5815" /> <TGConnectingPoint num="1" id="5816" /> <TGConnectingPoint num="2" id="5817" /> @@ -13135,16 +13135,16 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5822" /> <TGConnectingPoint num="8" id="5823" /> <extraparam> -<Prop commName="outSoclib" commType="0" origin="1" type="int" sensitive="false" sensitive_method="" /> +<Prop commName="inSoclib" commType="0" origin="0" type="int" sensitive="false" sensitive_method="" nbits="0" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1605" id="5834" > <father id="5843" num="1" /> -<cdparam x="321" y="313" /> +<cdparam x="273" y="313" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="61" /> -<infoparam name="Primitive port - Port DE" value="inSoclib" /> +<infoparam name="Primitive port - Port DE" value="outSoclib" /> <TGConnectingPoint num="0" id="5825" /> <TGConnectingPoint num="1" id="5826" /> <TGConnectingPoint num="2" id="5827" /> @@ -13155,7 +13155,7 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5832" /> <TGConnectingPoint num="8" id="5833" /> <extraparam> -<Prop commName="inSoclib" commType="0" origin="0" type="int" sensitive="false" sensitive_method="" /> +<Prop commName="outSoclib" commType="0" origin="1" type="int" sensitive="false" sensitive_method="" nbits="0" /> </extraparam> </SUBCOMPONENT> @@ -13193,16 +13193,16 @@ Otherwise, the most far away <Attribute period="1.0" time="ms" processCode="void processing() { out.write(rand()%12); } - " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> + " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1606" id="5853" > <father id="5872" num="0" /> -<cdparam x="262" y="227" /> +<cdparam x="320" y="227" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="87" /> -<infoparam name="Primitive port - Port Converter" value="in" /> +<infoparam name="Primitive port - Port Converter" value="out" /> <TGConnectingPoint num="0" id="5844" /> <TGConnectingPoint num="1" id="5845" /> <TGConnectingPoint num="2" id="5846" /> @@ -13213,16 +13213,16 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5851" /> <TGConnectingPoint num="8" id="5852" /> <extraparam> -<Prop commName="in" commType="0" origin="0" period="-1.0" time="s" rate="-1" delay="-1" type="sc_uint<32>" /> +<Prop commName="out" commType="0" origin="1" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1606" id="5863" > <father id="5872" num="1" /> -<cdparam x="320" y="227" /> +<cdparam x="262" y="227" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="87" /> -<infoparam name="Primitive port - Port Converter" value="out" /> +<infoparam name="Primitive port - Port Converter" value="in" /> <TGConnectingPoint num="0" id="5854" /> <TGConnectingPoint num="1" id="5855" /> <TGConnectingPoint num="2" id="5856" /> @@ -13233,7 +13233,7 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5861" /> <TGConnectingPoint num="8" id="5862" /> <extraparam> -<Prop commName="out" commType="0" origin="1" period="-1.0" time="s" rate="-1" delay="-1" type="sc_uint<32>" /> +<Prop commName="in" commType="0" origin="0" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> </extraparam> </SUBCOMPONENT> @@ -13245,16 +13245,16 @@ Otherwise, the most far away <cdparam x="290" y="385" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="290" y="385" id="5894" /> -<P2 x="265" y="265" id="5923" /> +<P1 x="290" y="385" id="5884" /> +<P2 x="265" y="245" id="5913" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="1601" id="5883" > <cdparam x="317" y="265" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="317" y="265" id="5913" /> -<P2 x="341" y="385" id="5884" /> +<P1 x="317" y="245" id="5923" /> +<P2 x="341" y="385" id="5894" /> <AutomaticDrawing data="true" /> </CONNECTOR> <COMPONENT type="1608" id="5912" > @@ -13274,11 +13274,11 @@ Otherwise, the most far away </COMPONENT> <SUBCOMPONENT type="1605" id="5893" > <father id="5912" num="0" /> -<cdparam x="331" y="385" /> +<cdparam x="280" y="385" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="inSoclib" /> +<infoparam name="Primitive port - Port DE" value="outSoclib" /> <TGConnectingPoint num="0" id="5884" /> <TGConnectingPoint num="1" id="5885" /> <TGConnectingPoint num="2" id="5886" /> @@ -13289,16 +13289,16 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5891" /> <TGConnectingPoint num="8" id="5892" /> <extraparam> -<Prop commName="inSoclib" commType="0" origin="0" type="int" sensitive="false" sensitive_method="" /> +<Prop commName="outSoclib" commType="0" origin="1" type="int" sensitive="false" sensitive_method="" nbits="0" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1605" id="5903" > <father id="5912" num="1" /> -<cdparam x="280" y="385" /> +<cdparam x="331" y="385" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="outSoclib" /> +<infoparam name="Primitive port - Port DE" value="inSoclib" /> <TGConnectingPoint num="0" id="5894" /> <TGConnectingPoint num="1" id="5895" /> <TGConnectingPoint num="2" id="5896" /> @@ -13309,7 +13309,7 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5901" /> <TGConnectingPoint num="8" id="5902" /> <extraparam> -<Prop commName="outSoclib" commType="0" origin="1" type="int" sensitive="false" sensitive_method="" /> +<Prop commName="inSoclib" commType="0" origin="0" type="int" sensitive="false" sensitive_method="" nbits="0" /> </extraparam> </SUBCOMPONENT> @@ -13347,16 +13347,16 @@ Otherwise, the most far away <Attribute period="1.0" time="ms" processCode="void processing() { out.write(rand()%12); } - " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> + " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1606" id="5922" > <father id="5941" num="0" /> -<cdparam x="307" y="245" /> +<cdparam x="255" y="245" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port Converter" value="out" /> +<infoparam name="Primitive port - Port Converter" value="in" /> <TGConnectingPoint num="0" id="5913" /> <TGConnectingPoint num="1" id="5914" /> <TGConnectingPoint num="2" id="5915" /> @@ -13367,16 +13367,16 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5920" /> <TGConnectingPoint num="8" id="5921" /> <extraparam> -<Prop commName="out" commType="0" origin="1" period="-1.0" time="s" rate="-1" delay="-1" type="sc_uint<32>" /> +<Prop commName="in" commType="0" origin="0" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1606" id="5932" > <father id="5941" num="1" /> -<cdparam x="255" y="245" /> +<cdparam x="307" y="245" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port Converter" value="in" /> +<infoparam name="Primitive port - Port Converter" value="out" /> <TGConnectingPoint num="0" id="5923" /> <TGConnectingPoint num="1" id="5924" /> <TGConnectingPoint num="2" id="5925" /> @@ -13387,7 +13387,7 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5930" /> <TGConnectingPoint num="8" id="5931" /> <extraparam> -<Prop commName="in" commType="0" origin="0" period="-1.0" time="s" rate="-1" delay="-1" type="sc_uint<32>" /> +<Prop commName="out" commType="0" origin="1" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> </extraparam> </SUBCOMPONENT> @@ -13399,16 +13399,16 @@ Otherwise, the most far away <cdparam x="240" y="342" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="240" y="342" id="5963" /> -<P2 x="223" y="228" id="5992" /> +<P1 x="240" y="342" id="5953" /> +<P2 x="223" y="208" id="5982" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="1601" id="5952" > <cdparam x="270" y="228" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="270" y="228" id="5982" /> -<P2 x="281" y="342" id="5953" /> +<P1 x="270" y="208" id="5992" /> +<P2 x="281" y="342" id="5963" /> <AutomaticDrawing data="true" /> </CONNECTOR> <COMPONENT type="1608" id="5981" > @@ -13428,11 +13428,11 @@ Otherwise, the most far away </COMPONENT> <SUBCOMPONENT type="1605" id="5962" > <father id="5981" num="0" /> -<cdparam x="271" y="342" /> +<cdparam x="230" y="342" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="inSoclib" /> +<infoparam name="Primitive port - Port DE" value="outSoclib" /> <TGConnectingPoint num="0" id="5953" /> <TGConnectingPoint num="1" id="5954" /> <TGConnectingPoint num="2" id="5955" /> @@ -13443,16 +13443,16 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5960" /> <TGConnectingPoint num="8" id="5961" /> <extraparam> -<Prop commName="inSoclib" commType="0" origin="0" type="int" sensitive="false" sensitive_method="" /> +<Prop commName="outSoclib" commType="0" origin="1" type="int" sensitive="false" sensitive_method="" nbits="0" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1605" id="5972" > <father id="5981" num="1" /> -<cdparam x="230" y="342" /> +<cdparam x="271" y="342" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="outSoclib" /> +<infoparam name="Primitive port - Port DE" value="inSoclib" /> <TGConnectingPoint num="0" id="5963" /> <TGConnectingPoint num="1" id="5964" /> <TGConnectingPoint num="2" id="5965" /> @@ -13463,7 +13463,7 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5970" /> <TGConnectingPoint num="8" id="5971" /> <extraparam> -<Prop commName="outSoclib" commType="0" origin="1" type="int" sensitive="false" sensitive_method="" /> +<Prop commName="inSoclib" commType="0" origin="0" type="int" sensitive="false" sensitive_method="" nbits="0" /> </extraparam> </SUBCOMPONENT> @@ -13501,16 +13501,16 @@ Otherwise, the most far away <Attribute period="1.0" time="ms" processCode="void processing() { out.write(rand()%12); } - " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> + " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1606" id="5991" > <father id="6010" num="0" /> -<cdparam x="260" y="208" /> +<cdparam x="213" y="208" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port Converter" value="out" /> +<infoparam name="Primitive port - Port Converter" value="in" /> <TGConnectingPoint num="0" id="5982" /> <TGConnectingPoint num="1" id="5983" /> <TGConnectingPoint num="2" id="5984" /> @@ -13521,16 +13521,16 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5989" /> <TGConnectingPoint num="8" id="5990" /> <extraparam> -<Prop commName="out" commType="0" origin="1" period="-1.0" time="s" rate="-1" delay="-1" type="sc_uint<32>" /> +<Prop commName="in" commType="0" origin="0" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1606" id="6001" > <father id="6010" num="1" /> -<cdparam x="213" y="208" /> +<cdparam x="260" y="208" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port Converter" value="in" /> +<infoparam name="Primitive port - Port Converter" value="out" /> <TGConnectingPoint num="0" id="5992" /> <TGConnectingPoint num="1" id="5993" /> <TGConnectingPoint num="2" id="5994" /> @@ -13541,7 +13541,7 @@ Otherwise, the most far away <TGConnectingPoint num="7" id="5999" /> <TGConnectingPoint num="8" id="6000" /> <extraparam> -<Prop commName="in" commType="0" origin="0" period="-1.0" time="s" rate="-1" delay="-1" type="sc_uint<32>" /> +<Prop commName="out" commType="0" origin="1" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> </extraparam> </SUBCOMPONENT> diff --git a/modeling/SystemC-AMS/echopen-no-gpio.xml b/modeling/SystemC-AMS/echopen-no-gpio.xml index 3afcbae152a545cd3cf96eee058d2712b0869c69..f64b2a212302674d7417950960e5470a004cc894 100644 --- a/modeling/SystemC-AMS/echopen-no-gpio.xml +++ b/modeling/SystemC-AMS/echopen-no-gpio.xml @@ -94,11 +94,11 @@ <Attribute name_function="" clockName="my_clk" code="void adcre_main() { adcregisteroutput.write(adcregisterinput.read()); } - SC_CTOR(adcre) { - SC_METHOD (adcre_main); + SC_CTOR(adcre1) { + SC_METHOD (adcre1_main); //sensitive <<clockffp.pos(); } - " listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> + " listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1605" id="17" > @@ -162,7 +162,7 @@ // actual time out1.write(2000.99 * std::sin( 2.0 * M_PI * freqoftheprobe * t ) ); //probesample.write(1); } - " constructorCode="" listStruct="Ts = 1.0 : double|freqoftheprobe = 1000.0 : double" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> + " constructorCode="" listStruct="Ts = 1.0 : double|freqoftheprobe = 1000.0 : double" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1604" id="46" > @@ -210,7 +210,7 @@ } } } - " constructorCode="" listStruct="addition = 0 : int" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> + " constructorCode="" listStruct="addition = 0 : int" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1606" id="65" > @@ -269,7 +269,9 @@ <TGConnectingPoint num="6" id="131" /> <TGConnectingPoint num="7" id="132" /> <extraparam> -<Attribute name_function="comparator_main" clockName="null" code=" void comparator_main(){ +<Attribute name_function="comparator_main" clockName="my_clk" code=" int counter; +sc_uint<12> inr,inr1; +void comparator_main(){ if (enable.read()==0){ counter=0; inr1=0; @@ -292,11 +294,11 @@ } else { outputmax.write(0); - compienable.write(0); + copmienable.write(0); } } } - " listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> + " listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1605" id="94" > @@ -316,7 +318,7 @@ <TGConnectingPoint num="7" id="92" /> <TGConnectingPoint num="8" id="93" /> <extraparam> -<Prop commName="outputmax" commType="0" origin="1" type="int" sensitive="true" sensitive_method="positive" nbits="12" /> +<Prop commName="outputmax" commType="0" origin="1" type="sc_uint" sensitive="true" sensitive_method="positive" nbits="12" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1605" id="104" > @@ -325,7 +327,7 @@ <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="copienable" /> +<infoparam name="Primitive port - Port DE" value="compienable" /> <TGConnectingPoint num="0" id="95" /> <TGConnectingPoint num="1" id="96" /> <TGConnectingPoint num="2" id="97" /> @@ -336,7 +338,7 @@ <TGConnectingPoint num="7" id="102" /> <TGConnectingPoint num="8" id="103" /> <extraparam> -<Prop commName="copienable" commType="0" origin="1" type="bool" sensitive="true" sensitive_method="positive" nbits="0" /> +<Prop commName="compienable" commType="0" origin="1" type="bool" sensitive="true" sensitive_method="positive" nbits="0" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1605" id="114" > @@ -356,7 +358,7 @@ <TGConnectingPoint num="7" id="112" /> <TGConnectingPoint num="8" id="113" /> <extraparam> -<Prop commName="inputfromabs" commType="0" origin="0" type="int" sensitive="false" sensitive_method="" nbits="12" /> +<Prop commName="inputfromabs" commType="0" origin="0" type="sc_uint" sensitive="false" sensitive_method="" nbits="12" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1605" id="124" > @@ -395,10 +397,7 @@ <TGConnectingPoint num="6" id="160" /> <TGConnectingPoint num="7" id="161" /> <extraparam> -<Attribute name_function="" clockName="null" code=" //sc_out <sc_uint<12> > fpgaoutput[30]; -sc_out <sc_uint<12> > fpgaoutput; -sc_out <bool> fpgaoutready; -sc_in <bool> enable1; +<Attribute name_function="" clockName="my_clk" code=" //sc_out <sc_uint<12> > fpgaoutput[30]; sc_uint<12> inr1[30]; int counter; void spi_main() { @@ -413,14 +412,14 @@ void spi_main() { outputvector.write(insideregister); count = 0; } - if(counter==30){ + if(counter==30){ for(int i=0;i<30;i++) { //DG serialized //fpgaoutput[i].write(inr1[i]); fpgaoutput.write(inr1[i]); } counter=0; } - ///800 } + ///800 } " listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> @@ -480,15 +479,15 @@ void spi_main() { <TGConnectingPoint num="6" id="179" /> <TGConnectingPoint num="7" id="180" /> <extraparam> -<Attribute name_function="" clockName="null" code=" SC_MODULE(pulse_gen){ +<Attribute name_function="" clockName="my_clk" code=" sc_uint<12> inr; +void pulse_gen_main(){ + inr=rand()%4096; sc_uint<12> inr; - void pulse_gen_main(){ - inr=rand()%4096; - outpready.write(1); - genout.write(inr) ; - // expo[j].write((i3)); - } -" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> + outpready.write(1); + genout.write(inr) ; + // expo[j].write((i3)); +} + " listStruct="inr = 12 : int" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1605" id="172" > @@ -527,7 +526,7 @@ void spi_main() { <TGConnectingPoint num="6" id="208" /> <TGConnectingPoint num="7" id="209" /> <extraparam> -<Attribute name_function="" clockName="abscalc1" code=" //------------Local Variables Here--------------------- sc_uint<12> inr; +<Attribute name_function="" clockName="my_clk" code=" sc_uint<12> inr; sc_uint<12> inr4; sc_uint<12> inr3,inr1,inr2; sc_uint<12> he1; @@ -547,7 +546,7 @@ int asdf; } absoutput.write(inr1); } - " listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> + " listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="1605" id="191" > @@ -587,7 +586,7 @@ int asdf; <TGConnectingPoint num="7" id="199" /> <TGConnectingPoint num="8" id="200" /> <extraparam> -<Prop commName="absoutput" commType="0" origin="1" type="int" sensitive="true" sensitive_method="positive" nbits="12" /> +<Prop commName="absoutput" commType="0" origin="1" type="sc_uint" sensitive="true" sensitive_method="positive" nbits="12" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5721" id="219" > @@ -606,7 +605,7 @@ int asdf; <TGConnectingPoint num="6" id="217" /> <TGConnectingPoint num="7" id="218" /> <extraparam> -<Attribute name_function="" nameTemplate="" frequency ="0.002" unit="" dutyCycle="0.5" startTime="0.001" posFirst ="false" /> +<Attribute name_function="" nameTemplate="" frequency ="0.002" unit="ms" dutyCycle="0.5" startTime="0.001" posFirst ="false" /> </extraparam> </SUBCOMPONENT> <SUBCOMPONENT type="5721" id="228" > @@ -625,7 +624,7 @@ int asdf; <TGConnectingPoint num="6" id="226" /> <TGConnectingPoint num="7" id="227" /> <extraparam> -<Attribute name_function="" nameTemplate="" frequency ="0.001" unit="" dutyCycle="0.0" startTime="0.0" posFirst ="false" /> +<Attribute name_function="" nameTemplate="" frequency ="0.001" unit="ms" dutyCycle="1.0" startTime="1.0" posFirst ="false" /> </extraparam> </SUBCOMPONENT> diff --git a/modeling/SystemC-AMS/rover_ams_single_dist_de_b_diplo.xml b/modeling/SystemC-AMS/rover_ams_single_dist_de_b_diplo.xml index 87da85b05f70b1ab1704fb5903bc28c1bde2f3eb..4e29133881f4a009769579bcebe4bc30ecadd9f5 100644 --- a/modeling/SystemC-AMS/rover_ams_single_dist_de_b_diplo.xml +++ b/modeling/SystemC-AMS/rover_ams_single_dist_de_b_diplo.xml @@ -1,6 +1,6 @@ <?xml version="1.0" encoding="UTF-8"?> -<TURTLEGMODELING version="1.0beta"> +<TURTLEGMODELING version="1.0beta" ANIMATE_INTERACTIVE_SIMULATION="true" ACTIVATE_PENALTIES="true" UPDATE_INFORMATION_DIPLO_SIM="true" ANIMATE_WITH_INFO_DIPLO_SIM="true" OPEN_DIAG_DIPLO_SIM="false"> <Modeling type="TML Component Design" nameTab="Rover" tabs="TML Component Task Diagram$MotorControl$TemperatureSensor$DistanceSensor$MainControl" > <TMLComponentTaskDiagramPanel name="TML Component Task Diagram" minX="10" maxX="2500" minY="10" maxY="1500" channels="true" events="true" requests="true" zoom="1.0" > @@ -8,40 +8,40 @@ <cdparam x="480" y="262" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="Connector between ports" /> -<P1 x="480" y="236" id="66" /> -<P2 x="479" y="296" id="26" /> +<P1 x="480" y="236" id="52" /> +<P2 x="479" y="296" id="22" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="2" > <cdparam x="601" y="181" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="Connector between ports" /> -<P1 x="672" y="166" id="52" /> -<P2 x="817" y="166" id="9" /> +<P1 x="672" y="166" id="66" /> +<P2 x="817" y="166" id="11" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="3" > <cdparam x="601" y="205" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="Connector between ports" /> -<P1 x="672" y="217" id="62" /> -<P2 x="817" y="217" id="11" /> +<P1 x="672" y="217" id="56" /> +<P2 x="817" y="217" id="9" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="4" > <cdparam x="375" y="154" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="Connector between ports" /> -<P1 x="388" y="151" id="54" /> -<P2 x="257" y="151" id="37" /> +<P1 x="388" y="151" id="64" /> +<P2 x="257" y="151" id="41" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="5" > <cdparam x="445" y="153" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="Connector between ports" /> -<P1 x="388" y="180" id="56" /> -<P2 x="257" y="182" id="41" /> +<P1 x="388" y="180" id="62" /> +<P2 x="257" y="182" id="37" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="6" > @@ -49,7 +49,7 @@ <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="Connector between ports" /> <P1 x="257" y="212" id="39" /> -<P2 x="388" y="212" id="64" /> +<P2 x="388" y="212" id="54" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="7" > @@ -57,15 +57,15 @@ <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="Connector between ports" /> <P1 x="418" y="296" id="24" /> -<P2 x="418" y="236" id="58" /> +<P2 x="418" y="236" id="60" /> <AutomaticDrawing data="true" /> </CONNECTOR> <CONNECTOR type="126" id="8" > <cdparam x="547" y="288" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="Connector between ports" /> -<P1 x="549" y="236" id="60" /> -<P2 x="548" y="296" id="22" /> +<P1 x="549" y="236" id="58" /> +<P2 x="548" y="296" id="26" /> <AutomaticDrawing data="true" /> </CONNECTOR> <COMPONENT type="1202" id="21" > @@ -90,14 +90,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="10" > <father id="21" num="0" /> -<cdparam x="804" y="166" /> +<cdparam x="804" y="217" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="229" minY="-13" maxY="117" /> -<infoparam name="Primitive port" value="Event newCommand" /> +<infoparam name="Primitive port" value="Channel motorCommand" /> <TGConnectingPoint num="0" id="9" /> <extraparam> -<Prop commName="newCommand" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="motorCommand" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -107,14 +107,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="12" > <father id="21" num="1" /> -<cdparam x="804" y="217" /> +<cdparam x="804" y="166" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="229" minY="-13" maxY="117" /> -<infoparam name="Primitive port" value="Channel motorCommand" /> +<infoparam name="Primitive port" value="Event newCommand" /> <TGConnectingPoint num="0" id="11" /> <extraparam> -<Prop commName="motorCommand" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="newCommand" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -147,14 +147,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="23" > <father id="36" num="0" /> -<cdparam x="535" y="296" /> +<cdparam x="466" y="296" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="278" minY="-13" maxY="84" /> -<infoparam name="Primitive port" value="Event startTemp" /> +<infoparam name="Primitive port" value="Event stopTemp" /> <TGConnectingPoint num="0" id="22" /> <extraparam> -<Prop commName="startTemp" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="stopTemp" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -181,14 +181,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="27" > <father id="36" num="2" /> -<cdparam x="466" y="296" /> +<cdparam x="535" y="296" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="278" minY="-13" maxY="84" /> -<infoparam name="Primitive port" value="Event stopTemp" /> +<infoparam name="Primitive port" value="Event startTemp" /> <TGConnectingPoint num="0" id="26" /> <extraparam> -<Prop commName="stopTemp" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="startTemp" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -219,14 +219,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="38" > <father id="51" num="0" /> -<cdparam x="244" y="151" /> +<cdparam x="244" y="182" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="187" minY="-13" maxY="109" /> -<infoparam name="Primitive port" value="Event changeRate" /> +<infoparam name="Primitive port" value="Channel samplingRate" /> <TGConnectingPoint num="0" id="37" /> <extraparam> -<Prop commName="changeRate" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="samplingRate" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -253,14 +253,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="42" > <father id="51" num="2" /> -<cdparam x="244" y="182" /> +<cdparam x="244" y="151" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="187" minY="-13" maxY="109" /> -<infoparam name="Primitive port" value="Channel samplingRate" /> +<infoparam name="Primitive port" value="Event changeRate" /> <TGConnectingPoint num="0" id="41" /> <extraparam> -<Prop commName="samplingRate" commType="0" origin="false" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="changeRate" commType="1" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -292,14 +292,14 @@ </COMPONENT> <SUBCOMPONENT type="1203" id="53" > <father id="76" num="0" /> -<cdparam x="659" y="166" /> +<cdparam x="467" y="236" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="271" minY="-13" maxY="111" /> -<infoparam name="Primitive port" value="Event newCommand" /> +<infoparam name="Primitive port" value="Event stopTemp" /> <TGConnectingPoint num="0" id="52" /> <extraparam> -<Prop commName="newCommand" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="stopTemp" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -309,14 +309,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="55" > <father id="76" num="1" /> -<cdparam x="375" y="151" /> +<cdparam x="375" y="212" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="271" minY="-13" maxY="111" /> -<infoparam name="Primitive port" value="Event changeRate" /> +<infoparam name="Primitive port" value="Channel ultrasonicData" /> <TGConnectingPoint num="0" id="54" /> <extraparam> -<Prop commName="changeRate" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="ultrasonicData" commType="0" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -326,14 +326,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="57" > <father id="76" num="2" /> -<cdparam x="375" y="180" /> +<cdparam x="659" y="217" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="271" minY="-13" maxY="111" /> -<infoparam name="Primitive port" value="Channel samplingRate" /> +<infoparam name="Primitive port" value="Channel motorCommand" /> <TGConnectingPoint num="0" id="56" /> <extraparam> -<Prop commName="samplingRate" commType="0" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="motorCommand" commType="0" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -343,14 +343,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="59" > <father id="76" num="3" /> -<cdparam x="405" y="236" /> +<cdparam x="536" y="236" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="271" minY="-13" maxY="111" /> -<infoparam name="Primitive port" value="Channel tempData" /> +<infoparam name="Primitive port" value="Event startTemp" /> <TGConnectingPoint num="0" id="58" /> <extraparam> -<Prop commName="tempData" commType="0" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="startTemp" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -360,14 +360,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="61" > <father id="76" num="4" /> -<cdparam x="536" y="236" /> +<cdparam x="405" y="236" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="271" minY="-13" maxY="111" /> -<infoparam name="Primitive port" value="Event startTemp" /> +<infoparam name="Primitive port" value="Channel tempData" /> <TGConnectingPoint num="0" id="60" /> <extraparam> -<Prop commName="startTemp" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="tempData" commType="0" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -377,14 +377,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="63" > <father id="76" num="5" /> -<cdparam x="659" y="217" /> +<cdparam x="375" y="180" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="271" minY="-13" maxY="111" /> -<infoparam name="Primitive port" value="Channel motorCommand" /> +<infoparam name="Primitive port" value="Channel samplingRate" /> <TGConnectingPoint num="0" id="62" /> <extraparam> -<Prop commName="motorCommand" commType="0" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="samplingRate" commType="0" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -394,14 +394,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="65" > <father id="76" num="6" /> -<cdparam x="375" y="212" /> +<cdparam x="375" y="151" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="271" minY="-13" maxY="111" /> -<infoparam name="Primitive port" value="Channel ultrasonicData" /> +<infoparam name="Primitive port" value="Event changeRate" /> <TGConnectingPoint num="0" id="64" /> <extraparam> -<Prop commName="ultrasonicData" commType="0" origin="false" finite="false" blocking="true" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="changeRate" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -411,14 +411,14 @@ </SUBCOMPONENT> <SUBCOMPONENT type="1203" id="67" > <father id="76" num="7" /> -<cdparam x="467" y="236" /> +<cdparam x="659" y="166" /> <sizeparam width="26" height="26" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-13" maxX="271" minY="-13" maxY="111" /> -<infoparam name="Primitive port" value="Event stopTemp" /> +<infoparam name="Primitive port" value="Event newCommand" /> <TGConnectingPoint num="0" id="66" /> <extraparam> -<Prop commName="stopTemp" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> +<Prop commName="newCommand" commType="1" origin="true" finite="false" blocking="false" maxSamples="8" widthSamples="4" isLossy="false" isPrex="false" isPostex="false" lossPercentage="0" maxNbOfLoss="0" dataFlowType="uint_16" associatedEvent="" checkConf="false" checkConfStatus="0" checkAuth="false" checkWeakAuthStatus="0" checkStrongAuthStatus="0" vc="-1" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> <Type type="0" typeOther="" /> @@ -2382,194 +2382,230 @@ state 2: obstacles in close proximity <Modeling type="TML Architecture" nameTab="Architecture" > <TMLArchiDiagramPanel name="DIPLODOCUS architecture and mapping Diagram" minX="10" maxX="2500" minY="10" maxY="1500" attributes="0" masterClockFrequency="200" > -<COMPONENT type="1115" id="489" > +<COMPONENT type="1115" id="498" > <cdparam x="331" y="15" /> <sizeparam width="200" height="100" minWidth="100" minHeight="100" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="Distance_Sensor" value="name" /> -<TGConnectingPoint num="0" id="465" /> -<TGConnectingPoint num="1" id="466" /> -<TGConnectingPoint num="2" id="467" /> -<TGConnectingPoint num="3" id="468" /> -<TGConnectingPoint num="4" id="469" /> -<TGConnectingPoint num="5" id="470" /> -<TGConnectingPoint num="6" id="471" /> -<TGConnectingPoint num="7" id="472" /> -<TGConnectingPoint num="8" id="473" /> -<TGConnectingPoint num="9" id="474" /> -<TGConnectingPoint num="10" id="475" /> -<TGConnectingPoint num="11" id="476" /> -<TGConnectingPoint num="12" id="477" /> -<TGConnectingPoint num="13" id="478" /> -<TGConnectingPoint num="14" id="479" /> -<TGConnectingPoint num="15" id="480" /> -<TGConnectingPoint num="16" id="481" /> -<TGConnectingPoint num="17" id="482" /> -<TGConnectingPoint num="18" id="483" /> -<TGConnectingPoint num="19" id="484" /> -<TGConnectingPoint num="20" id="485" /> -<TGConnectingPoint num="21" id="486" /> -<TGConnectingPoint num="22" id="487" /> -<TGConnectingPoint num="23" id="488" /> +<TGConnectingPoint num="0" id="474" /> +<TGConnectingPoint num="1" id="475" /> +<TGConnectingPoint num="2" id="476" /> +<TGConnectingPoint num="3" id="477" /> +<TGConnectingPoint num="4" id="478" /> +<TGConnectingPoint num="5" id="479" /> +<TGConnectingPoint num="6" id="480" /> +<TGConnectingPoint num="7" id="481" /> +<TGConnectingPoint num="8" id="482" /> +<TGConnectingPoint num="9" id="483" /> +<TGConnectingPoint num="10" id="484" /> +<TGConnectingPoint num="11" id="485" /> +<TGConnectingPoint num="12" id="486" /> +<TGConnectingPoint num="13" id="487" /> +<TGConnectingPoint num="14" id="488" /> +<TGConnectingPoint num="15" id="489" /> +<TGConnectingPoint num="16" id="490" /> +<TGConnectingPoint num="17" id="491" /> +<TGConnectingPoint num="18" id="492" /> +<TGConnectingPoint num="19" id="493" /> +<TGConnectingPoint num="20" id="494" /> +<TGConnectingPoint num="21" id="495" /> +<TGConnectingPoint num="22" id="496" /> +<TGConnectingPoint num="23" id="497" /> <extraparam> <info stereotype="CAMS" nodeName="Distance_Sensor" /> <attributes byteDataSize="4" execiTime="1" clockRatio="1" operation="" /> </extraparam> </COMPONENT> -<SUBCOMPONENT type="1101" id="2023" > -<father id="489" num="0" /> +<SUBCOMPONENT type="1101" id="473" > +<father id="498" num="0" /> <cdparam x="354" y="61" /> -<sizeparam width="163" height="40" minWidth="100" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<sizeparam width="163" height="40" minWidth="100" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="TGComponent" value="Rover::DistanceSensor" /> -<TGConnectingPoint num="0" id="2024" /> -<TGConnectingPoint num="1" id="2025" /> -<TGConnectingPoint num="2" id="2026" /> -<TGConnectingPoint num="3" id="2027" /> -<TGConnectingPoint num="4" id="2028" /> -<TGConnectingPoint num="5" id="2029" /> -<TGConnectingPoint num="6" id="2030" /> -<TGConnectingPoint num="7" id="2031" /> +<TGConnectingPoint num="0" id="465" /> +<TGConnectingPoint num="1" id="466" /> +<TGConnectingPoint num="2" id="467" /> +<TGConnectingPoint num="3" id="468" /> +<TGConnectingPoint num="4" id="469" /> +<TGConnectingPoint num="5" id="470" /> +<TGConnectingPoint num="6" id="471" /> +<TGConnectingPoint num="7" id="472" /> <extraparam> <info value="Rover::DistanceSensor" taskName="DistanceSensor" referenceTaskName="Rover" priority="0" operationMEC="TemperatureSensor" fatherComponentMECType="0" /> </extraparam> </SUBCOMPONENT> -<COMPONENT type="1115" id="514" > +<COMPONENT type="1115" id="532" > <cdparam x="56" y="14" /> <sizeparam width="219" height="101" minWidth="100" minHeight="100" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="Temperature_Sensor" value="name" /> -<TGConnectingPoint num="0" id="490" /> -<TGConnectingPoint num="1" id="491" /> -<TGConnectingPoint num="2" id="492" /> -<TGConnectingPoint num="3" id="493" /> -<TGConnectingPoint num="4" id="494" /> -<TGConnectingPoint num="5" id="495" /> -<TGConnectingPoint num="6" id="496" /> -<TGConnectingPoint num="7" id="497" /> -<TGConnectingPoint num="8" id="498" /> -<TGConnectingPoint num="9" id="499" /> -<TGConnectingPoint num="10" id="500" /> -<TGConnectingPoint num="11" id="501" /> -<TGConnectingPoint num="12" id="502" /> -<TGConnectingPoint num="13" id="503" /> -<TGConnectingPoint num="14" id="504" /> -<TGConnectingPoint num="15" id="505" /> -<TGConnectingPoint num="16" id="506" /> -<TGConnectingPoint num="17" id="507" /> -<TGConnectingPoint num="18" id="508" /> -<TGConnectingPoint num="19" id="509" /> -<TGConnectingPoint num="20" id="510" /> -<TGConnectingPoint num="21" id="511" /> -<TGConnectingPoint num="22" id="512" /> -<TGConnectingPoint num="23" id="513" /> +<TGConnectingPoint num="0" id="508" /> +<TGConnectingPoint num="1" id="509" /> +<TGConnectingPoint num="2" id="510" /> +<TGConnectingPoint num="3" id="511" /> +<TGConnectingPoint num="4" id="512" /> +<TGConnectingPoint num="5" id="513" /> +<TGConnectingPoint num="6" id="514" /> +<TGConnectingPoint num="7" id="515" /> +<TGConnectingPoint num="8" id="516" /> +<TGConnectingPoint num="9" id="517" /> +<TGConnectingPoint num="10" id="518" /> +<TGConnectingPoint num="11" id="519" /> +<TGConnectingPoint num="12" id="520" /> +<TGConnectingPoint num="13" id="521" /> +<TGConnectingPoint num="14" id="522" /> +<TGConnectingPoint num="15" id="523" /> +<TGConnectingPoint num="16" id="524" /> +<TGConnectingPoint num="17" id="525" /> +<TGConnectingPoint num="18" id="526" /> +<TGConnectingPoint num="19" id="527" /> +<TGConnectingPoint num="20" id="528" /> +<TGConnectingPoint num="21" id="529" /> +<TGConnectingPoint num="22" id="530" /> +<TGConnectingPoint num="23" id="531" /> <extraparam> <info stereotype="CAMS" nodeName="Temperature_Sensor" /> <attributes byteDataSize="4" execiTime="1" clockRatio="1" operation="" /> </extraparam> </COMPONENT> -<SUBCOMPONENT type="1101" id="2005" > -<father id="514" num="0" /> +<SUBCOMPONENT type="1101" id="507" > +<father id="532" num="0" /> <cdparam x="70" y="62" /> -<sizeparam width="190" height="40" minWidth="100" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<sizeparam width="190" height="40" minWidth="100" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="TGComponent" value="Rover::TemperatureSensor" /> -<TGConnectingPoint num="0" id="2006" /> -<TGConnectingPoint num="1" id="2007" /> -<TGConnectingPoint num="2" id="2008" /> -<TGConnectingPoint num="3" id="2009" /> -<TGConnectingPoint num="4" id="2010" /> -<TGConnectingPoint num="5" id="2011" /> -<TGConnectingPoint num="6" id="2012" /> -<TGConnectingPoint num="7" id="2013" /> +<TGConnectingPoint num="0" id="499" /> +<TGConnectingPoint num="1" id="500" /> +<TGConnectingPoint num="2" id="501" /> +<TGConnectingPoint num="3" id="502" /> +<TGConnectingPoint num="4" id="503" /> +<TGConnectingPoint num="5" id="504" /> +<TGConnectingPoint num="6" id="505" /> +<TGConnectingPoint num="7" id="506" /> <extraparam> <info value="Rover::TemperatureSensor" taskName="TemperatureSensor" referenceTaskName="Rover" priority="0" operationMEC="TemperatureSensor" fatherComponentMECType="0" /> </extraparam> </SUBCOMPONENT> -<COMPONENT type="1102" id="539" > +<COMPONENT type="1102" id="557" > <cdparam x="171" y="183" /> <sizeparam width="250" height="50" minWidth="100" minHeight="50" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="Bus0" value="name" /> -<TGConnectingPoint num="0" id="515" /> -<TGConnectingPoint num="1" id="516" /> -<TGConnectingPoint num="2" id="517" /> -<TGConnectingPoint num="3" id="518" /> -<TGConnectingPoint num="4" id="519" /> -<TGConnectingPoint num="5" id="520" /> -<TGConnectingPoint num="6" id="521" /> -<TGConnectingPoint num="7" id="522" /> -<TGConnectingPoint num="8" id="523" /> -<TGConnectingPoint num="9" id="524" /> -<TGConnectingPoint num="10" id="525" /> -<TGConnectingPoint num="11" id="526" /> -<TGConnectingPoint num="12" id="527" /> -<TGConnectingPoint num="13" id="528" /> -<TGConnectingPoint num="14" id="529" /> -<TGConnectingPoint num="15" id="530" /> -<TGConnectingPoint num="16" id="531" /> -<TGConnectingPoint num="17" id="532" /> -<TGConnectingPoint num="18" id="533" /> -<TGConnectingPoint num="19" id="534" /> -<TGConnectingPoint num="20" id="535" /> -<TGConnectingPoint num="21" id="536" /> -<TGConnectingPoint num="22" id="537" /> -<TGConnectingPoint num="23" id="538" /> +<TGConnectingPoint num="0" id="533" /> +<TGConnectingPoint num="1" id="534" /> +<TGConnectingPoint num="2" id="535" /> +<TGConnectingPoint num="3" id="536" /> +<TGConnectingPoint num="4" id="537" /> +<TGConnectingPoint num="5" id="538" /> +<TGConnectingPoint num="6" id="539" /> +<TGConnectingPoint num="7" id="540" /> +<TGConnectingPoint num="8" id="541" /> +<TGConnectingPoint num="9" id="542" /> +<TGConnectingPoint num="10" id="543" /> +<TGConnectingPoint num="11" id="544" /> +<TGConnectingPoint num="12" id="545" /> +<TGConnectingPoint num="13" id="546" /> +<TGConnectingPoint num="14" id="547" /> +<TGConnectingPoint num="15" id="548" /> +<TGConnectingPoint num="16" id="549" /> +<TGConnectingPoint num="17" id="550" /> +<TGConnectingPoint num="18" id="551" /> +<TGConnectingPoint num="19" id="552" /> +<TGConnectingPoint num="20" id="553" /> +<TGConnectingPoint num="21" id="554" /> +<TGConnectingPoint num="22" id="555" /> +<TGConnectingPoint num="23" id="556" /> <extraparam> <info stereotype="BUS" nodeName="Bus0" /> <attributes byteDataSize="4" arbitrationPolicy="0" sliceTime="10000" pipelineSize="1" clockRatio="1" privacy="0" referenceAttack="" /> </extraparam> </COMPONENT> -<COMPONENT type="1105" id="564" > +<COMPONENT type="1105" id="582" > <cdparam x="341" y="278" /> -<sizeparam width="200" height="155" minWidth="100" minHeight="35" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<sizeparam width="200" height="133" minWidth="100" minHeight="35" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="Memory0" value="name" /> -<TGConnectingPoint num="0" id="540" /> -<TGConnectingPoint num="1" id="541" /> -<TGConnectingPoint num="2" id="542" /> -<TGConnectingPoint num="3" id="543" /> -<TGConnectingPoint num="4" id="544" /> -<TGConnectingPoint num="5" id="545" /> -<TGConnectingPoint num="6" id="546" /> -<TGConnectingPoint num="7" id="547" /> -<TGConnectingPoint num="8" id="548" /> -<TGConnectingPoint num="9" id="549" /> -<TGConnectingPoint num="10" id="550" /> -<TGConnectingPoint num="11" id="551" /> -<TGConnectingPoint num="12" id="552" /> -<TGConnectingPoint num="13" id="553" /> -<TGConnectingPoint num="14" id="554" /> -<TGConnectingPoint num="15" id="555" /> -<TGConnectingPoint num="16" id="556" /> -<TGConnectingPoint num="17" id="557" /> -<TGConnectingPoint num="18" id="558" /> -<TGConnectingPoint num="19" id="559" /> -<TGConnectingPoint num="20" id="560" /> -<TGConnectingPoint num="21" id="561" /> -<TGConnectingPoint num="22" id="562" /> -<TGConnectingPoint num="23" id="563" /> +<TGConnectingPoint num="0" id="558" /> +<TGConnectingPoint num="1" id="559" /> +<TGConnectingPoint num="2" id="560" /> +<TGConnectingPoint num="3" id="561" /> +<TGConnectingPoint num="4" id="562" /> +<TGConnectingPoint num="5" id="563" /> +<TGConnectingPoint num="6" id="564" /> +<TGConnectingPoint num="7" id="565" /> +<TGConnectingPoint num="8" id="566" /> +<TGConnectingPoint num="9" id="567" /> +<TGConnectingPoint num="10" id="568" /> +<TGConnectingPoint num="11" id="569" /> +<TGConnectingPoint num="12" id="570" /> +<TGConnectingPoint num="13" id="571" /> +<TGConnectingPoint num="14" id="572" /> +<TGConnectingPoint num="15" id="573" /> +<TGConnectingPoint num="16" id="574" /> +<TGConnectingPoint num="17" id="575" /> +<TGConnectingPoint num="18" id="576" /> +<TGConnectingPoint num="19" id="577" /> +<TGConnectingPoint num="20" id="578" /> +<TGConnectingPoint num="21" id="579" /> +<TGConnectingPoint num="22" id="580" /> +<TGConnectingPoint num="23" id="581" /> <extraparam> <info stereotype="MEMORY" nodeName="Memory0" /> <attributes byteDataSize="4" memorySize="1024" clockRatio="1" bufferType="0" /> </extraparam> </COMPONENT> -<COMPONENT type="1100" id="607" > +<COMPONENT type="1100" id="625" > <cdparam x="47" y="278" /> -<sizeparam width="220" height="157" minWidth="150" minHeight="100" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<sizeparam width="220" height="135" minWidth="150" minHeight="100" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="CPU0" value="name" /> +<TGConnectingPoint num="0" id="601" /> +<TGConnectingPoint num="1" id="602" /> +<TGConnectingPoint num="2" id="603" /> +<TGConnectingPoint num="3" id="604" /> +<TGConnectingPoint num="4" id="605" /> +<TGConnectingPoint num="5" id="606" /> +<TGConnectingPoint num="6" id="607" /> +<TGConnectingPoint num="7" id="608" /> +<TGConnectingPoint num="8" id="609" /> +<TGConnectingPoint num="9" id="610" /> +<TGConnectingPoint num="10" id="611" /> +<TGConnectingPoint num="11" id="612" /> +<TGConnectingPoint num="12" id="613" /> +<TGConnectingPoint num="13" id="614" /> +<TGConnectingPoint num="14" id="615" /> +<TGConnectingPoint num="15" id="616" /> +<TGConnectingPoint num="16" id="617" /> +<TGConnectingPoint num="17" id="618" /> +<TGConnectingPoint num="18" id="619" /> +<TGConnectingPoint num="19" id="620" /> +<TGConnectingPoint num="20" id="621" /> +<TGConnectingPoint num="21" id="622" /> +<TGConnectingPoint num="22" id="623" /> +<TGConnectingPoint num="23" id="624" /> +<extraparam> +<info stereotype="CPU" nodeName="CPU0" /> +<attributes nbOfCores="1" byteDataSize="4" schedulingPolicy="0" sliceTime="10000" goIdleTime="10" maxConsecutiveIdleCycles="10" pipelineSize="5" taskSwitchingTime="20" branchingPredictionPenalty="2" cacheMiss="5" execiTime="1" execcTime="1" clockRatio="1" operation="" MECType="0" encryption="0"/> +</extraparam> +</COMPONENT> +<SUBCOMPONENT type="1101" id="591" > +<father id="625" num="0" /> +<cdparam x="55" y="315" /> +<sizeparam width="144" height="40" minWidth="100" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<hidden value="false" /> +<cdrectangleparam minX="0" maxX="76" minY="0" maxY="95" /> +<infoparam name="TGComponent" value="Rover::MainControl" /> <TGConnectingPoint num="0" id="583" /> <TGConnectingPoint num="1" id="584" /> <TGConnectingPoint num="2" id="585" /> @@ -2578,108 +2614,72 @@ state 2: obstacles in close proximity <TGConnectingPoint num="5" id="588" /> <TGConnectingPoint num="6" id="589" /> <TGConnectingPoint num="7" id="590" /> -<TGConnectingPoint num="8" id="591" /> -<TGConnectingPoint num="9" id="592" /> -<TGConnectingPoint num="10" id="593" /> -<TGConnectingPoint num="11" id="594" /> -<TGConnectingPoint num="12" id="595" /> -<TGConnectingPoint num="13" id="596" /> -<TGConnectingPoint num="14" id="597" /> -<TGConnectingPoint num="15" id="598" /> -<TGConnectingPoint num="16" id="599" /> -<TGConnectingPoint num="17" id="600" /> -<TGConnectingPoint num="18" id="601" /> -<TGConnectingPoint num="19" id="602" /> -<TGConnectingPoint num="20" id="603" /> -<TGConnectingPoint num="21" id="604" /> -<TGConnectingPoint num="22" id="605" /> -<TGConnectingPoint num="23" id="606" /> <extraparam> -<info stereotype="CPU" nodeName="CPU0" /> -<attributes nbOfCores="1" byteDataSize="4" schedulingPolicy="0" sliceTime="10000" goIdleTime="10" maxConsecutiveIdleCycles="10" pipelineSize="5" taskSwitchingTime="20" branchingPredictionPenalty="2" cacheMiss="5" execiTime="1" execcTime="1" clockRatio="1" operation="" MECType="0" encryption="0"/> +<info value="Rover::MainControl" taskName="MainControl" referenceTaskName="Rover" priority="0" operationMEC="MainControl" fatherComponentMECType="0" /> </extraparam> -</COMPONENT> -<SUBCOMPONENT type="1101" id="573" > -<father id="607" num="0" /> +</SUBCOMPONENT> +<SUBCOMPONENT type="1101" id="600" > +<father id="625" num="1" /> <cdparam x="54" y="359" /> <sizeparam width="150" height="40" minWidth="100" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="70" minY="0" maxY="117" /> +<cdrectangleparam minX="0" maxX="70" minY="0" maxY="95" /> <infoparam name="TGComponent" value="Rover::MotorControl" /> -<TGConnectingPoint num="0" id="565" /> -<TGConnectingPoint num="1" id="566" /> -<TGConnectingPoint num="2" id="567" /> -<TGConnectingPoint num="3" id="568" /> -<TGConnectingPoint num="4" id="569" /> -<TGConnectingPoint num="5" id="570" /> -<TGConnectingPoint num="6" id="571" /> -<TGConnectingPoint num="7" id="572" /> +<TGConnectingPoint num="0" id="592" /> +<TGConnectingPoint num="1" id="593" /> +<TGConnectingPoint num="2" id="594" /> +<TGConnectingPoint num="3" id="595" /> +<TGConnectingPoint num="4" id="596" /> +<TGConnectingPoint num="5" id="597" /> +<TGConnectingPoint num="6" id="598" /> +<TGConnectingPoint num="7" id="599" /> <extraparam> <info value="Rover::MotorControl" taskName="MotorControl" referenceTaskName="Rover" priority="0" operationMEC="MotorControl" fatherComponentMECType="0" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1101" id="582" > -<father id="607" num="1" /> -<cdparam x="55" y="315" /> -<sizeparam width="144" height="40" minWidth="100" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> -<hidden value="false" /> -<cdrectangleparam minX="0" maxX="76" minY="0" maxY="117" /> -<infoparam name="TGComponent" value="Rover::MainControl" /> -<TGConnectingPoint num="0" id="574" /> -<TGConnectingPoint num="1" id="575" /> -<TGConnectingPoint num="2" id="576" /> -<TGConnectingPoint num="3" id="577" /> -<TGConnectingPoint num="4" id="578" /> -<TGConnectingPoint num="5" id="579" /> -<TGConnectingPoint num="6" id="580" /> -<TGConnectingPoint num="7" id="581" /> -<extraparam> -<info value="Rover::MainControl" taskName="MainControl" referenceTaskName="Rover" priority="0" operationMEC="MainControl" fatherComponentMECType="0" /> -</extraparam> -</SUBCOMPONENT> -<CONNECTOR type="125" id="608" > +<CONNECTOR type="125" id="626" > <cdparam x="456" y="216" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="{info}" /> -<P1 x="431" y="115" id="471" /> -<P2 x="358" y="183" id="524" /> +<P1 x="431" y="115" id="480" /> +<P2 x="358" y="183" id="542" /> <AutomaticDrawing data="true" /> <extraparam> <info priority="0" /> <spy value="false" /> </extraparam> </CONNECTOR> -<CONNECTOR type="125" id="609" > +<CONNECTOR type="125" id="627" > <cdparam x="175" y="214" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="{info}" /> -<P1 x="165" y="115" id="496" /> -<P2 x="233" y="183" id="523" /> +<P1 x="165" y="115" id="514" /> +<P2 x="233" y="183" id="541" /> <AutomaticDrawing data="true" /> <extraparam> <info priority="0" /> <spy value="false" /> </extraparam> </CONNECTOR> -<CONNECTOR type="125" id="610" > +<CONNECTOR type="125" id="628" > <cdparam x="524" y="102" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="{info}" /> -<P1 x="212" y="278" id="592" /> -<P2 x="233" y="233" id="529" /> +<P1 x="212" y="278" id="610" /> +<P2 x="233" y="233" id="547" /> <AutomaticDrawing data="true" /> <extraparam> <info priority="0" /> <spy value="false" /> </extraparam> </CONNECTOR> -<CONNECTOR type="125" id="611" > +<CONNECTOR type="125" id="629" > <cdparam x="729" y="103" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="{info}" /> -<P1 x="391" y="278" id="548" /> -<P2 x="358" y="233" id="530" /> +<P1 x="391" y="278" id="566" /> +<P2 x="358" y="233" id="548" /> <AutomaticDrawing data="true" /> <extraparam> <info priority="0" /> @@ -2702,13 +2702,13 @@ state 2: obstacles in close proximity <Validated value="" /> <Ignored value="" /> -<CONNECTOR type="5002" id="613" > +<CONNECTOR type="5002" id="631" > <cdparam x="0" y="0" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<TGConnectingPoint num="0" id="612" /> -<P1 x="950" y="422" id="667" /> -<P2 x="1013" y="421" id="634" /> +<TGConnectingPoint num="0" id="630" /> +<P1 x="950" y="422" id="685" /> +<P2 x="1013" y="421" id="652" /> <AutomaticDrawing data="true" /> <extraparam> <isd value="in motorCommand(int leftCommand, int rightCommand)" /> @@ -2716,63 +2716,63 @@ state 2: obstacles in close proximity <FIFOType asynchronous="true" size="4096" blocking="false" private="false" broadcast="false" lossy="false" /> </extraparam> </CONNECTOR> -<COMPONENT type="302" id="630" > +<COMPONENT type="302" id="648" > <cdparam x="573" y="216" /> <sizeparam width="251" height="58" minWidth="80" minHeight="10" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> -<infoparam name="Proverif Pragma" value=" -" /> -<TGConnectingPoint num="0" id="614" /> -<TGConnectingPoint num="1" id="615" /> -<TGConnectingPoint num="2" id="616" /> -<TGConnectingPoint num="3" id="617" /> -<TGConnectingPoint num="4" id="618" /> -<TGConnectingPoint num="5" id="619" /> -<TGConnectingPoint num="6" id="620" /> -<TGConnectingPoint num="7" id="621" /> -<TGConnectingPoint num="8" id="622" /> -<TGConnectingPoint num="9" id="623" /> -<TGConnectingPoint num="10" id="624" /> -<TGConnectingPoint num="11" id="625" /> -<TGConnectingPoint num="12" id="626" /> -<TGConnectingPoint num="13" id="627" /> -<TGConnectingPoint num="14" id="628" /> -<TGConnectingPoint num="15" id="629" /> -<extraparam> -</extraparam> -</COMPONENT> - -<COMPONENT type="5000" id="655" > +<infoparam name="Proverif Pragma" value="" /> +<TGConnectingPoint num="0" id="632" /> +<TGConnectingPoint num="1" id="633" /> +<TGConnectingPoint num="2" id="634" /> +<TGConnectingPoint num="3" id="635" /> +<TGConnectingPoint num="4" id="636" /> +<TGConnectingPoint num="5" id="637" /> +<TGConnectingPoint num="6" id="638" /> +<TGConnectingPoint num="7" id="639" /> +<TGConnectingPoint num="8" id="640" /> +<TGConnectingPoint num="9" id="641" /> +<TGConnectingPoint num="10" id="642" /> +<TGConnectingPoint num="11" id="643" /> +<TGConnectingPoint num="12" id="644" /> +<TGConnectingPoint num="13" id="645" /> +<TGConnectingPoint num="14" id="646" /> +<TGConnectingPoint num="15" id="647" /> +<extraparam> +<Line value="" /> +</extraparam> +</COMPONENT> + +<COMPONENT type="5000" id="673" > <cdparam x="1013" y="318" /> <sizeparam width="370" height="206" minWidth="5" minHeight="2" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="Block0" value="MotorControl" /> -<TGConnectingPoint num="0" id="631" /> -<TGConnectingPoint num="1" id="632" /> -<TGConnectingPoint num="2" id="633" /> -<TGConnectingPoint num="3" id="634" /> -<TGConnectingPoint num="4" id="635" /> -<TGConnectingPoint num="5" id="636" /> -<TGConnectingPoint num="6" id="637" /> -<TGConnectingPoint num="7" id="638" /> -<TGConnectingPoint num="8" id="639" /> -<TGConnectingPoint num="9" id="640" /> -<TGConnectingPoint num="10" id="641" /> -<TGConnectingPoint num="11" id="642" /> -<TGConnectingPoint num="12" id="643" /> -<TGConnectingPoint num="13" id="644" /> -<TGConnectingPoint num="14" id="645" /> -<TGConnectingPoint num="15" id="646" /> -<TGConnectingPoint num="16" id="647" /> -<TGConnectingPoint num="17" id="648" /> -<TGConnectingPoint num="18" id="649" /> -<TGConnectingPoint num="19" id="650" /> -<TGConnectingPoint num="20" id="651" /> -<TGConnectingPoint num="21" id="652" /> -<TGConnectingPoint num="22" id="653" /> -<TGConnectingPoint num="23" id="654" /> +<TGConnectingPoint num="0" id="649" /> +<TGConnectingPoint num="1" id="650" /> +<TGConnectingPoint num="2" id="651" /> +<TGConnectingPoint num="3" id="652" /> +<TGConnectingPoint num="4" id="653" /> +<TGConnectingPoint num="5" id="654" /> +<TGConnectingPoint num="6" id="655" /> +<TGConnectingPoint num="7" id="656" /> +<TGConnectingPoint num="8" id="657" /> +<TGConnectingPoint num="9" id="658" /> +<TGConnectingPoint num="10" id="659" /> +<TGConnectingPoint num="11" id="660" /> +<TGConnectingPoint num="12" id="661" /> +<TGConnectingPoint num="13" id="662" /> +<TGConnectingPoint num="14" id="663" /> +<TGConnectingPoint num="15" id="664" /> +<TGConnectingPoint num="16" id="665" /> +<TGConnectingPoint num="17" id="666" /> +<TGConnectingPoint num="18" id="667" /> +<TGConnectingPoint num="19" id="668" /> +<TGConnectingPoint num="20" id="669" /> +<TGConnectingPoint num="21" id="670" /> +<TGConnectingPoint num="22" id="671" /> +<TGConnectingPoint num="23" id="672" /> <extraparam> <blockType data="block" color="-4072719" /> <CryptoBlock value="false" /> @@ -2782,36 +2782,36 @@ state 2: obstacles in close proximity </extraparam> </COMPONENT> -<COMPONENT type="5000" id="680" > +<COMPONENT type="5000" id="698" > <cdparam x="514" y="324" /> <sizeparam width="436" height="393" minWidth="5" minHeight="2" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="Block0" value="MainControl" /> -<TGConnectingPoint num="0" id="656" /> -<TGConnectingPoint num="1" id="657" /> -<TGConnectingPoint num="2" id="658" /> -<TGConnectingPoint num="3" id="659" /> -<TGConnectingPoint num="4" id="660" /> -<TGConnectingPoint num="5" id="661" /> -<TGConnectingPoint num="6" id="662" /> -<TGConnectingPoint num="7" id="663" /> -<TGConnectingPoint num="8" id="664" /> -<TGConnectingPoint num="9" id="665" /> -<TGConnectingPoint num="10" id="666" /> -<TGConnectingPoint num="11" id="667" /> -<TGConnectingPoint num="12" id="668" /> -<TGConnectingPoint num="13" id="669" /> -<TGConnectingPoint num="14" id="670" /> -<TGConnectingPoint num="15" id="671" /> -<TGConnectingPoint num="16" id="672" /> -<TGConnectingPoint num="17" id="673" /> -<TGConnectingPoint num="18" id="674" /> -<TGConnectingPoint num="19" id="675" /> -<TGConnectingPoint num="20" id="676" /> -<TGConnectingPoint num="21" id="677" /> -<TGConnectingPoint num="22" id="678" /> -<TGConnectingPoint num="23" id="679" /> +<TGConnectingPoint num="0" id="674" /> +<TGConnectingPoint num="1" id="675" /> +<TGConnectingPoint num="2" id="676" /> +<TGConnectingPoint num="3" id="677" /> +<TGConnectingPoint num="4" id="678" /> +<TGConnectingPoint num="5" id="679" /> +<TGConnectingPoint num="6" id="680" /> +<TGConnectingPoint num="7" id="681" /> +<TGConnectingPoint num="8" id="682" /> +<TGConnectingPoint num="9" id="683" /> +<TGConnectingPoint num="10" id="684" /> +<TGConnectingPoint num="11" id="685" /> +<TGConnectingPoint num="12" id="686" /> +<TGConnectingPoint num="13" id="687" /> +<TGConnectingPoint num="14" id="688" /> +<TGConnectingPoint num="15" id="689" /> +<TGConnectingPoint num="16" id="690" /> +<TGConnectingPoint num="17" id="691" /> +<TGConnectingPoint num="18" id="692" /> +<TGConnectingPoint num="19" id="693" /> +<TGConnectingPoint num="20" id="694" /> +<TGConnectingPoint num="21" id="695" /> +<TGConnectingPoint num="22" id="696" /> +<TGConnectingPoint num="23" id="697" /> <extraparam> <blockType data="block" color="-4072719" /> <CryptoBlock value="false" /> @@ -2838,56 +2838,56 @@ state 2: obstacles in close proximity </AVATARBlockDiagramPanel> <AVATARStateMachineDiagramPanel name="MotorControl" minX="10" maxX="2500" minY="10" maxY="1500" > -<CONNECTOR type="5102" id="693" > +<CONNECTOR type="5102" id="711" > <cdparam x="407" y="201" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="689" /> -<TGConnectingPoint num="1" id="690" /> -<TGConnectingPoint num="2" id="691" /> -<TGConnectingPoint num="3" id="692" /> -<P1 x="407" y="201" id="709" /> -<P2 x="495" y="113" id="723" /> +<TGConnectingPoint num="0" id="707" /> +<TGConnectingPoint num="1" id="708" /> +<TGConnectingPoint num="2" id="709" /> +<TGConnectingPoint num="3" id="710" /> +<P1 x="407" y="201" id="727" /> +<P2 x="495" y="113" id="741" /> <Point x="407" y="276" /> <Point x="641" y="276" /> <Point x="641" y="113" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="681" > -<father id="693" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="699" > +<father id="711" num="0" /> <cdparam x="407" y="276" /> <sizeparam width="1" height="1" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="point " value="null" /> </SUBCOMPONENT> -<SUBCOMPONENT type="-1" id="682" > -<father id="693" num="1" /> +<SUBCOMPONENT type="-1" id="700" > +<father id="711" num="1" /> <cdparam x="641" y="276" /> <sizeparam width="1" height="1" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="point " value="null" /> </SUBCOMPONENT> -<SUBCOMPONENT type="-1" id="683" > -<father id="693" num="2" /> +<SUBCOMPONENT type="-1" id="701" > +<father id="711" num="2" /> <cdparam x="641" y="113" /> <sizeparam width="1" height="1" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="point " value="null" /> </SUBCOMPONENT> -<SUBCOMPONENT type="-1" id="688" > -<father id="693" num="3" /> +<SUBCOMPONENT type="-1" id="706" > +<father id="711" num="3" /> <cdparam x="420" y="244" /> <sizeparam width="81" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="684" /> -<TGConnectingPoint num="1" id="685" /> -<TGConnectingPoint num="2" id="686" /> -<TGConnectingPoint num="3" id="687" /> +<TGConnectingPoint num="0" id="702" /> +<TGConnectingPoint num="1" id="703" /> +<TGConnectingPoint num="2" id="704" /> +<TGConnectingPoint num="3" id="705" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="10" enabled="true"/> @@ -2898,26 +2898,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="700" > +<CONNECTOR type="5102" id="718" > <cdparam x="407" y="60" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="699" /> -<P1 x="407" y="60" id="760" /> -<P2 x="407" y="88" id="720" /> +<TGConnectingPoint num="0" id="717" /> +<P1 x="407" y="60" id="778" /> +<P2 x="407" y="88" id="738" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="698" > -<father id="700" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="716" > +<father id="718" num="0" /> <cdparam x="447" y="100" /> <sizeparam width="10" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="694" /> -<TGConnectingPoint num="1" id="695" /> -<TGConnectingPoint num="2" id="696" /> -<TGConnectingPoint num="3" id="697" /> +<TGConnectingPoint num="0" id="712" /> +<TGConnectingPoint num="1" id="713" /> +<TGConnectingPoint num="2" id="714" /> +<TGConnectingPoint num="3" id="715" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -2928,26 +2928,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="707" > +<CONNECTOR type="5102" id="725" > <cdparam x="536" y="590" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="706" /> -<P1 x="407" y="138" id="725" /> -<P2 x="407" y="171" id="708" /> +<TGConnectingPoint num="0" id="724" /> +<P1 x="407" y="138" id="743" /> +<P2 x="407" y="171" id="726" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="705" > -<father id="707" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="723" > +<father id="725" num="0" /> <cdparam x="425" y="612" /> <sizeparam width="10" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="701" /> -<TGConnectingPoint num="1" id="702" /> -<TGConnectingPoint num="2" id="703" /> -<TGConnectingPoint num="3" id="704" /> +<TGConnectingPoint num="0" id="719" /> +<TGConnectingPoint num="1" id="720" /> +<TGConnectingPoint num="2" id="721" /> +<TGConnectingPoint num="3" id="722" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -2958,109 +2958,109 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<COMPONENT type="5104" id="718" > +<COMPONENT type="5104" id="736" > <cdparam x="268" y="176" /> <sizeparam width="279" height="20" minWidth="30" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="Receive signal" value="motorCommand(leftVelocity, rightVelocity)" /> -<TGConnectingPoint num="0" id="708" /> -<TGConnectingPoint num="1" id="709" /> -<TGConnectingPoint num="2" id="710" /> -<TGConnectingPoint num="3" id="711" /> -<TGConnectingPoint num="4" id="712" /> -<TGConnectingPoint num="5" id="713" /> -<TGConnectingPoint num="6" id="714" /> -<TGConnectingPoint num="7" id="715" /> -<TGConnectingPoint num="8" id="716" /> -<TGConnectingPoint num="9" id="717" /> -</COMPONENT> - -<COMPONENT type="5106" id="759" > +<TGConnectingPoint num="0" id="726" /> +<TGConnectingPoint num="1" id="727" /> +<TGConnectingPoint num="2" id="728" /> +<TGConnectingPoint num="3" id="729" /> +<TGConnectingPoint num="4" id="730" /> +<TGConnectingPoint num="5" id="731" /> +<TGConnectingPoint num="6" id="732" /> +<TGConnectingPoint num="7" id="733" /> +<TGConnectingPoint num="8" id="734" /> +<TGConnectingPoint num="9" id="735" /> +</COMPONENT> + +<COMPONENT type="5106" id="777" > <cdparam x="319" y="88" /> <sizeparam width="176" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="State" value="startMotor" /> -<TGConnectingPoint num="0" id="719" /> -<TGConnectingPoint num="1" id="720" /> -<TGConnectingPoint num="2" id="721" /> -<TGConnectingPoint num="3" id="722" /> -<TGConnectingPoint num="4" id="723" /> -<TGConnectingPoint num="5" id="724" /> -<TGConnectingPoint num="6" id="725" /> -<TGConnectingPoint num="7" id="726" /> -<TGConnectingPoint num="8" id="727" /> -<TGConnectingPoint num="9" id="728" /> -<TGConnectingPoint num="10" id="729" /> -<TGConnectingPoint num="11" id="730" /> -<TGConnectingPoint num="12" id="731" /> -<TGConnectingPoint num="13" id="732" /> -<TGConnectingPoint num="14" id="733" /> -<TGConnectingPoint num="15" id="734" /> -<TGConnectingPoint num="16" id="735" /> -<TGConnectingPoint num="17" id="736" /> -<TGConnectingPoint num="18" id="737" /> -<TGConnectingPoint num="19" id="738" /> -<TGConnectingPoint num="20" id="739" /> -<TGConnectingPoint num="21" id="740" /> -<TGConnectingPoint num="22" id="741" /> -<TGConnectingPoint num="23" id="742" /> -<TGConnectingPoint num="24" id="743" /> -<TGConnectingPoint num="25" id="744" /> -<TGConnectingPoint num="26" id="745" /> -<TGConnectingPoint num="27" id="746" /> -<TGConnectingPoint num="28" id="747" /> -<TGConnectingPoint num="29" id="748" /> -<TGConnectingPoint num="30" id="749" /> -<TGConnectingPoint num="31" id="750" /> -<TGConnectingPoint num="32" id="751" /> -<TGConnectingPoint num="33" id="752" /> -<TGConnectingPoint num="34" id="753" /> -<TGConnectingPoint num="35" id="754" /> -<TGConnectingPoint num="36" id="755" /> -<TGConnectingPoint num="37" id="756" /> -<TGConnectingPoint num="38" id="757" /> -<TGConnectingPoint num="39" id="758" /> -<extraparam> -</extraparam> -</COMPONENT> - -<COMPONENT type="5100" id="761" > +<TGConnectingPoint num="0" id="737" /> +<TGConnectingPoint num="1" id="738" /> +<TGConnectingPoint num="2" id="739" /> +<TGConnectingPoint num="3" id="740" /> +<TGConnectingPoint num="4" id="741" /> +<TGConnectingPoint num="5" id="742" /> +<TGConnectingPoint num="6" id="743" /> +<TGConnectingPoint num="7" id="744" /> +<TGConnectingPoint num="8" id="745" /> +<TGConnectingPoint num="9" id="746" /> +<TGConnectingPoint num="10" id="747" /> +<TGConnectingPoint num="11" id="748" /> +<TGConnectingPoint num="12" id="749" /> +<TGConnectingPoint num="13" id="750" /> +<TGConnectingPoint num="14" id="751" /> +<TGConnectingPoint num="15" id="752" /> +<TGConnectingPoint num="16" id="753" /> +<TGConnectingPoint num="17" id="754" /> +<TGConnectingPoint num="18" id="755" /> +<TGConnectingPoint num="19" id="756" /> +<TGConnectingPoint num="20" id="757" /> +<TGConnectingPoint num="21" id="758" /> +<TGConnectingPoint num="22" id="759" /> +<TGConnectingPoint num="23" id="760" /> +<TGConnectingPoint num="24" id="761" /> +<TGConnectingPoint num="25" id="762" /> +<TGConnectingPoint num="26" id="763" /> +<TGConnectingPoint num="27" id="764" /> +<TGConnectingPoint num="28" id="765" /> +<TGConnectingPoint num="29" id="766" /> +<TGConnectingPoint num="30" id="767" /> +<TGConnectingPoint num="31" id="768" /> +<TGConnectingPoint num="32" id="769" /> +<TGConnectingPoint num="33" id="770" /> +<TGConnectingPoint num="34" id="771" /> +<TGConnectingPoint num="35" id="772" /> +<TGConnectingPoint num="36" id="773" /> +<TGConnectingPoint num="37" id="774" /> +<TGConnectingPoint num="38" id="775" /> +<TGConnectingPoint num="39" id="776" /> +<extraparam> +</extraparam> +</COMPONENT> + +<COMPONENT type="5100" id="779" > <cdparam x="400" y="40" /> <sizeparam width="15" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="start state" value="null" /> -<TGConnectingPoint num="0" id="760" /> +<TGConnectingPoint num="0" id="778" /> </COMPONENT> </AVATARStateMachineDiagramPanel> <AVATARStateMachineDiagramPanel name="MainControl" minX="10" maxX="2500" minY="10" maxY="2500" > -<CONNECTOR type="5102" id="768" > +<CONNECTOR type="5102" id="786" > <cdparam x="495" y="1048" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="767" /> -<P1 x="495" y="1048" id="1377" /> -<P2 x="495" y="1106" id="1140" /> +<TGConnectingPoint num="0" id="785" /> +<P1 x="495" y="1048" id="1395" /> +<P2 x="495" y="1106" id="1158" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="766" > -<father id="768" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="784" > +<father id="786" num="0" /> <cdparam x="494" y="1080" /> <sizeparam width="10" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="762" /> -<TGConnectingPoint num="1" id="763" /> -<TGConnectingPoint num="2" id="764" /> -<TGConnectingPoint num="3" id="765" /> +<TGConnectingPoint num="0" id="780" /> +<TGConnectingPoint num="1" id="781" /> +<TGConnectingPoint num="2" id="782" /> +<TGConnectingPoint num="3" id="783" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3071,26 +3071,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="775" > +<CONNECTOR type="5102" id="793" > <cdparam x="396" y="217" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="774" /> -<P1 x="396" y="217" id="965" /> -<P2 x="396" y="262" id="1331" /> +<TGConnectingPoint num="0" id="792" /> +<P1 x="396" y="217" id="983" /> +<P2 x="396" y="262" id="1349" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="773" > -<father id="775" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="791" > +<father id="793" num="0" /> <cdparam x="395" y="241" /> <sizeparam width="10" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="769" /> -<TGConnectingPoint num="1" id="770" /> -<TGConnectingPoint num="2" id="771" /> -<TGConnectingPoint num="3" id="772" /> +<TGConnectingPoint num="0" id="787" /> +<TGConnectingPoint num="1" id="788" /> +<TGConnectingPoint num="2" id="789" /> +<TGConnectingPoint num="3" id="790" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3101,56 +3101,56 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="788" > +<CONNECTOR type="5102" id="806" > <cdparam x="436" y="1709" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="784" /> -<TGConnectingPoint num="1" id="785" /> -<TGConnectingPoint num="2" id="786" /> -<TGConnectingPoint num="3" id="787" /> -<P1 x="434" y="1533" id="1197" /> -<P2 x="495" y="192" id="963" /> +<TGConnectingPoint num="0" id="802" /> +<TGConnectingPoint num="1" id="803" /> +<TGConnectingPoint num="2" id="804" /> +<TGConnectingPoint num="3" id="805" /> +<P1 x="434" y="1533" id="1215" /> +<P2 x="495" y="192" id="981" /> <Point x="434" y="1561" /> <Point x="710" y="1561" /> <Point x="712" y="192" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="776" > -<father id="788" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="794" > +<father id="806" num="0" /> <cdparam x="434" y="1561" /> <sizeparam width="1" height="1" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="point " value="null" /> </SUBCOMPONENT> -<SUBCOMPONENT type="-1" id="777" > -<father id="788" num="1" /> +<SUBCOMPONENT type="-1" id="795" > +<father id="806" num="1" /> <cdparam x="710" y="1561" /> <sizeparam width="1" height="1" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="point " value="null" /> </SUBCOMPONENT> -<SUBCOMPONENT type="-1" id="778" > -<father id="788" num="2" /> +<SUBCOMPONENT type="-1" id="796" > +<father id="806" num="2" /> <cdparam x="712" y="192" /> <sizeparam width="1" height="1" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="point " value="null" /> </SUBCOMPONENT> -<SUBCOMPONENT type="-1" id="783" > -<father id="788" num="3" /> +<SUBCOMPONENT type="-1" id="801" > +<father id="806" num="3" /> <cdparam x="575" y="225" /> <sizeparam width="73" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="779" /> -<TGConnectingPoint num="1" id="780" /> -<TGConnectingPoint num="2" id="781" /> -<TGConnectingPoint num="3" id="782" /> +<TGConnectingPoint num="0" id="797" /> +<TGConnectingPoint num="1" id="798" /> +<TGConnectingPoint num="2" id="799" /> +<TGConnectingPoint num="3" id="800" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="2" enabled="true"/> @@ -3161,26 +3161,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="795" > +<CONNECTOR type="5102" id="813" > <cdparam x="410" y="746" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="794" /> -<P1 x="413" y="687" id="945" /> -<P2 x="459" y="742" id="1267" /> +<TGConnectingPoint num="0" id="812" /> +<P1 x="413" y="687" id="963" /> +<P2 x="459" y="742" id="1285" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="793" > -<father id="795" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="811" > +<father id="813" num="0" /> <cdparam x="445" y="698" /> <sizeparam width="77" height="30" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="789" /> -<TGConnectingPoint num="1" id="790" /> -<TGConnectingPoint num="2" id="791" /> -<TGConnectingPoint num="3" id="792" /> +<TGConnectingPoint num="0" id="807" /> +<TGConnectingPoint num="1" id="808" /> +<TGConnectingPoint num="2" id="809" /> +<TGConnectingPoint num="3" id="810" /> <extraparam> <guard value="[else ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3192,26 +3192,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="802" > +<CONNECTOR type="5102" id="820" > <cdparam x="360" y="746" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="801" /> -<P1 x="363" y="687" id="944" /> -<P2 x="319" y="742" id="1264" /> +<TGConnectingPoint num="0" id="819" /> +<P1 x="363" y="687" id="962" /> +<P2 x="319" y="742" id="1282" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="800" > -<father id="802" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="818" > +<father id="820" num="0" /> <cdparam x="261" y="696" /> <sizeparam width="76" height="30" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="796" /> -<TGConnectingPoint num="1" id="797" /> -<TGConnectingPoint num="2" id="798" /> -<TGConnectingPoint num="3" id="799" /> +<TGConnectingPoint num="0" id="814" /> +<TGConnectingPoint num="1" id="815" /> +<TGConnectingPoint num="2" id="816" /> +<TGConnectingPoint num="3" id="817" /> <extraparam> <guard value="[state==2 ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3223,26 +3223,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="809" > +<CONNECTOR type="5102" id="827" > <cdparam x="367" y="1018" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="808" /> -<P1 x="390" y="792" id="1254" /> -<P2 x="390" y="833" id="1208" /> +<TGConnectingPoint num="0" id="826" /> +<P1 x="390" y="792" id="1272" /> +<P2 x="390" y="833" id="1226" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="807" > -<father id="809" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="825" > +<father id="827" num="0" /> <cdparam x="367" y="1113" /> <sizeparam width="10" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="803" /> -<TGConnectingPoint num="1" id="804" /> -<TGConnectingPoint num="2" id="805" /> -<TGConnectingPoint num="3" id="806" /> +<TGConnectingPoint num="0" id="821" /> +<TGConnectingPoint num="1" id="822" /> +<TGConnectingPoint num="2" id="823" /> +<TGConnectingPoint num="3" id="824" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3253,26 +3253,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="816" > +<CONNECTOR type="5102" id="834" > <cdparam x="436" y="1655" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="815" /> -<P1 x="434" y="1471" id="1104" /> -<P2 x="434" y="1503" id="1196" /> +<TGConnectingPoint num="0" id="833" /> +<P1 x="434" y="1471" id="1122" /> +<P2 x="434" y="1503" id="1214" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="814" > -<father id="816" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="832" > +<father id="834" num="0" /> <cdparam x="429" y="1710" /> <sizeparam width="10" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="810" /> -<TGConnectingPoint num="1" id="811" /> -<TGConnectingPoint num="2" id="812" /> -<TGConnectingPoint num="3" id="813" /> +<TGConnectingPoint num="0" id="828" /> +<TGConnectingPoint num="1" id="829" /> +<TGConnectingPoint num="2" id="830" /> +<TGConnectingPoint num="3" id="831" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3283,26 +3283,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="823" > +<CONNECTOR type="5102" id="841" > <cdparam x="548" y="488" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="822" /> -<P1 x="525" y="478" id="1418" /> -<P2 x="457" y="578" id="1308" /> +<TGConnectingPoint num="0" id="840" /> +<P1 x="525" y="478" id="1436" /> +<P2 x="457" y="578" id="1326" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="821" > -<father id="823" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="839" > +<father id="841" num="0" /> <cdparam x="536" y="537" /> <sizeparam width="150" height="45" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="817" /> -<TGConnectingPoint num="1" id="818" /> -<TGConnectingPoint num="2" id="819" /> -<TGConnectingPoint num="3" id="820" /> +<TGConnectingPoint num="0" id="835" /> +<TGConnectingPoint num="1" id="836" /> +<TGConnectingPoint num="2" id="837" /> +<TGConnectingPoint num="3" id="838" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3316,26 +3316,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="830" > +<CONNECTOR type="5102" id="848" > <cdparam x="251" y="493" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="829" /> -<P1 x="263" y="482" id="1500" /> -<P2 x="341" y="578" id="1297" /> +<TGConnectingPoint num="0" id="847" /> +<P1 x="263" y="482" id="1518" /> +<P2 x="341" y="578" id="1315" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="828" > -<father id="830" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="846" > +<father id="848" num="0" /> <cdparam x="141" y="537" /> <sizeparam width="170" height="45" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="824" /> -<TGConnectingPoint num="1" id="825" /> -<TGConnectingPoint num="2" id="826" /> -<TGConnectingPoint num="3" id="827" /> +<TGConnectingPoint num="0" id="842" /> +<TGConnectingPoint num="1" id="843" /> +<TGConnectingPoint num="2" id="844" /> +<TGConnectingPoint num="3" id="845" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3349,26 +3349,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="837" > +<CONNECTOR type="5102" id="855" > <cdparam x="397" y="55" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="836" /> -<P1 x="397" y="55" id="1592" /> -<P2 x="397" y="92" id="1552" /> +<TGConnectingPoint num="0" id="854" /> +<P1 x="397" y="55" id="1610" /> +<P2 x="397" y="92" id="1570" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="835" > -<father id="837" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="853" > +<father id="855" num="0" /> <cdparam x="398" y="74" /> <sizeparam width="10" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="831" /> -<TGConnectingPoint num="1" id="832" /> -<TGConnectingPoint num="2" id="833" /> -<TGConnectingPoint num="3" id="834" /> +<TGConnectingPoint num="0" id="849" /> +<TGConnectingPoint num="1" id="850" /> +<TGConnectingPoint num="2" id="851" /> +<TGConnectingPoint num="3" id="852" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3379,26 +3379,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="844" > +<CONNECTOR type="5102" id="862" > <cdparam x="372" y="530" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="843" /> -<P1 x="371" y="376" id="1536" /> -<P2 x="263" y="432" id="1495" /> +<TGConnectingPoint num="0" id="861" /> +<P1 x="371" y="376" id="1554" /> +<P2 x="263" y="432" id="1513" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="842" > -<father id="844" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="860" > +<father id="862" num="0" /> <cdparam x="221" y="384" /> <sizeparam width="119" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="838" /> -<TGConnectingPoint num="1" id="839" /> -<TGConnectingPoint num="2" id="840" /> -<TGConnectingPoint num="3" id="841" /> +<TGConnectingPoint num="0" id="856" /> +<TGConnectingPoint num="1" id="857" /> +<TGConnectingPoint num="2" id="858" /> +<TGConnectingPoint num="3" id="859" /> <extraparam> <guard value="[ distanceFront>8]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3409,26 +3409,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="851" > +<CONNECTOR type="5102" id="869" > <cdparam x="390" y="415" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="850" /> -<P1 x="396" y="401" id="1541" /> -<P2 x="396" y="463" id="1454" /> +<TGConnectingPoint num="0" id="868" /> +<P1 x="396" y="401" id="1559" /> +<P2 x="396" y="463" id="1472" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="849" > -<father id="851" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="867" > +<father id="869" num="0" /> <cdparam x="340" y="444" /> <sizeparam width="119" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="845" /> -<TGConnectingPoint num="1" id="846" /> -<TGConnectingPoint num="2" id="847" /> -<TGConnectingPoint num="3" id="848" /> +<TGConnectingPoint num="0" id="863" /> +<TGConnectingPoint num="1" id="864" /> +<TGConnectingPoint num="2" id="865" /> +<TGConnectingPoint num="3" id="866" /> <extraparam> <guard value="[distanceFront<3 ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3439,26 +3439,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="858" > +<CONNECTOR type="5102" id="876" > <cdparam x="422" y="594" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="857" /> -<P1 x="421" y="376" id="1537" /> -<P2 x="525" y="428" id="1413" /> +<TGConnectingPoint num="0" id="875" /> +<P1 x="421" y="376" id="1555" /> +<P2 x="525" y="428" id="1431" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="856" > -<father id="858" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="874" > +<father id="876" num="0" /> <cdparam x="464" y="384" /> <sizeparam width="40" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="852" /> -<TGConnectingPoint num="1" id="853" /> -<TGConnectingPoint num="2" id="854" /> -<TGConnectingPoint num="3" id="855" /> +<TGConnectingPoint num="0" id="870" /> +<TGConnectingPoint num="1" id="871" /> +<TGConnectingPoint num="2" id="872" /> +<TGConnectingPoint num="3" id="873" /> <extraparam> <guard value="[ else]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3469,26 +3469,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="865" > +<CONNECTOR type="5102" id="883" > <cdparam x="436" y="522" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="864" /> -<P1 x="396" y="312" id="1336" /> -<P2 x="396" y="351" id="1535" /> +<TGConnectingPoint num="0" id="882" /> +<P1 x="396" y="312" id="1354" /> +<P2 x="396" y="351" id="1553" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="863" > -<father id="865" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="881" > +<father id="883" num="0" /> <cdparam x="437" y="543" /> <sizeparam width="10" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="859" /> -<TGConnectingPoint num="1" id="860" /> -<TGConnectingPoint num="2" id="861" /> -<TGConnectingPoint num="3" id="862" /> +<TGConnectingPoint num="0" id="877" /> +<TGConnectingPoint num="1" id="878" /> +<TGConnectingPoint num="2" id="879" /> +<TGConnectingPoint num="3" id="880" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3499,26 +3499,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="872" > +<CONNECTOR type="5102" id="890" > <cdparam x="401" y="522" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="871" /> -<P1 x="396" y="513" id="1459" /> -<P2 x="388" y="578" id="1290" /> +<TGConnectingPoint num="0" id="889" /> +<P1 x="396" y="513" id="1477" /> +<P2 x="388" y="578" id="1308" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="870" > -<father id="872" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="888" > +<father id="890" num="0" /> <cdparam x="396" y="566" /> <sizeparam width="118" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="866" /> -<TGConnectingPoint num="1" id="867" /> -<TGConnectingPoint num="2" id="868" /> -<TGConnectingPoint num="3" id="869" /> +<TGConnectingPoint num="0" id="884" /> +<TGConnectingPoint num="1" id="885" /> +<TGConnectingPoint num="2" id="886" /> +<TGConnectingPoint num="3" id="887" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3530,26 +3530,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="879" > +<CONNECTOR type="5102" id="897" > <cdparam x="311" y="1280" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="878" /> -<P1 x="365" y="944" id="1181" /> -<P2 x="318" y="1421" id="1114" /> +<TGConnectingPoint num="0" id="896" /> +<P1 x="365" y="944" id="1199" /> +<P2 x="318" y="1421" id="1132" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="877" > -<father id="879" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="895" > +<father id="897" num="0" /> <cdparam x="283" y="969" /> <sizeparam width="70" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="873" /> -<TGConnectingPoint num="1" id="874" /> -<TGConnectingPoint num="2" id="875" /> -<TGConnectingPoint num="3" id="876" /> +<TGConnectingPoint num="0" id="891" /> +<TGConnectingPoint num="1" id="892" /> +<TGConnectingPoint num="2" id="893" /> +<TGConnectingPoint num="3" id="894" /> <extraparam> <guard value="[ state!=2]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3560,26 +3560,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="886" > +<CONNECTOR type="5102" id="904" > <cdparam x="432" y="1259" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="885" /> -<P1 x="390" y="883" id="1213" /> -<P2 x="390" y="919" id="1180" /> +<TGConnectingPoint num="0" id="903" /> +<P1 x="390" y="883" id="1231" /> +<P2 x="390" y="919" id="1198" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="884" > -<father id="886" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="902" > +<father id="904" num="0" /> <cdparam x="375" y="1270" /> <sizeparam width="10" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="880" /> -<TGConnectingPoint num="1" id="881" /> -<TGConnectingPoint num="2" id="882" /> -<TGConnectingPoint num="3" id="883" /> +<TGConnectingPoint num="0" id="898" /> +<TGConnectingPoint num="1" id="899" /> +<TGConnectingPoint num="2" id="900" /> +<TGConnectingPoint num="3" id="901" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3590,26 +3590,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="893" > +<CONNECTOR type="5102" id="911" > <cdparam x="399" y="1295" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="892" /> -<P1 x="415" y="944" id="1185" /> -<P2 x="465" y="998" id="1379" /> +<TGConnectingPoint num="0" id="910" /> +<P1 x="415" y="944" id="1203" /> +<P2 x="465" y="998" id="1397" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="891" > -<father id="893" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="909" > +<father id="911" num="0" /> <cdparam x="453" y="967" /> <sizeparam width="40" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="887" /> -<TGConnectingPoint num="1" id="888" /> -<TGConnectingPoint num="2" id="889" /> -<TGConnectingPoint num="3" id="890" /> +<TGConnectingPoint num="0" id="905" /> +<TGConnectingPoint num="1" id="906" /> +<TGConnectingPoint num="2" id="907" /> +<TGConnectingPoint num="3" id="908" /> <extraparam> <guard value="[else ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3620,26 +3620,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="900" > +<CONNECTOR type="5102" id="918" > <cdparam x="488" y="1399" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="899" /> -<P1 x="495" y="1156" id="1145" /> -<P2 x="494" y="1197" id="1082" /> +<TGConnectingPoint num="0" id="917" /> +<P1 x="495" y="1156" id="1163" /> +<P2 x="494" y="1197" id="1100" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="898" > -<father id="900" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="916" > +<father id="918" num="0" /> <cdparam x="491" y="1447" /> <sizeparam width="10" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="894" /> -<TGConnectingPoint num="1" id="895" /> -<TGConnectingPoint num="2" id="896" /> -<TGConnectingPoint num="3" id="897" /> +<TGConnectingPoint num="0" id="912" /> +<TGConnectingPoint num="1" id="913" /> +<TGConnectingPoint num="2" id="914" /> +<TGConnectingPoint num="3" id="915" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3650,26 +3650,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="907" > +<CONNECTOR type="5102" id="925" > <cdparam x="495" y="1546" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="906" /> -<P1 x="469" y="1222" id="1083" /> -<P2 x="428" y="1287" id="1042" /> +<TGConnectingPoint num="0" id="924" /> +<P1 x="469" y="1222" id="1101" /> +<P2 x="428" y="1287" id="1060" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="905" > -<father id="907" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="923" > +<father id="925" num="0" /> <cdparam x="275" y="1227" /> <sizeparam width="187" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="901" /> -<TGConnectingPoint num="1" id="902" /> -<TGConnectingPoint num="2" id="903" /> -<TGConnectingPoint num="3" id="904" /> +<TGConnectingPoint num="0" id="919" /> +<TGConnectingPoint num="1" id="920" /> +<TGConnectingPoint num="2" id="921" /> +<TGConnectingPoint num="3" id="922" /> <extraparam> <guard value="[ distanceLeft>distanceRight]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3680,26 +3680,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="914" > +<CONNECTOR type="5102" id="932" > <cdparam x="487" y="1493" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="913" /> -<P1 x="519" y="1222" id="1084" /> -<P2 x="561" y="1285" id="1018" /> +<TGConnectingPoint num="0" id="931" /> +<P1 x="519" y="1222" id="1102" /> +<P2 x="561" y="1285" id="1036" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="912" > -<father id="914" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="930" > +<father id="932" num="0" /> <cdparam x="535" y="1229" /> <sizeparam width="40" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="908" /> -<TGConnectingPoint num="1" id="909" /> -<TGConnectingPoint num="2" id="910" /> -<TGConnectingPoint num="3" id="911" /> +<TGConnectingPoint num="0" id="926" /> +<TGConnectingPoint num="1" id="927" /> +<TGConnectingPoint num="2" id="928" /> +<TGConnectingPoint num="3" id="929" /> <extraparam> <guard value="[ else]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3710,26 +3710,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="921" > +<CONNECTOR type="5102" id="939" > <cdparam x="430" y="1540" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="920" /> -<P1 x="428" y="1337" id="1047" /> -<P2 x="470" y="1421" id="1116" /> +<TGConnectingPoint num="0" id="938" /> +<P1 x="428" y="1337" id="1065" /> +<P2 x="470" y="1421" id="1134" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="919" > -<father id="921" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="937" > +<father id="939" num="0" /> <cdparam x="348" y="1389" /> <sizeparam width="150" height="30" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="915" /> -<TGConnectingPoint num="1" id="916" /> -<TGConnectingPoint num="2" id="917" /> -<TGConnectingPoint num="3" id="918" /> +<TGConnectingPoint num="0" id="933" /> +<TGConnectingPoint num="1" id="934" /> +<TGConnectingPoint num="2" id="935" /> +<TGConnectingPoint num="3" id="936" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3742,26 +3742,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="928" > +<CONNECTOR type="5102" id="946" > <cdparam x="531" y="1591" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="927" /> -<P1 x="549" y="1335" id="1006" /> -<P2 x="510" y="1421" id="1107" /> +<TGConnectingPoint num="0" id="945" /> +<P1 x="549" y="1335" id="1024" /> +<P2 x="510" y="1421" id="1125" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="926" > -<father id="928" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="944" > +<father id="946" num="0" /> <cdparam x="549" y="1388" /> <sizeparam width="142" height="30" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="922" /> -<TGConnectingPoint num="1" id="923" /> -<TGConnectingPoint num="2" id="924" /> -<TGConnectingPoint num="3" id="925" /> +<TGConnectingPoint num="0" id="940" /> +<TGConnectingPoint num="1" id="941" /> +<TGConnectingPoint num="2" id="942" /> +<TGConnectingPoint num="3" id="943" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3774,26 +3774,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="935" > +<CONNECTOR type="5102" id="953" > <cdparam x="438" y="142" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="934" /> -<P1 x="397" y="142" id="1557" /> -<P2 x="396" y="167" id="960" /> +<TGConnectingPoint num="0" id="952" /> +<P1 x="397" y="142" id="1575" /> +<P2 x="396" y="167" id="978" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="933" > -<father id="935" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="951" > +<father id="953" num="0" /> <cdparam x="439" y="164" /> <sizeparam width="10" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="929" /> -<TGConnectingPoint num="1" id="930" /> -<TGConnectingPoint num="2" id="931" /> -<TGConnectingPoint num="3" id="932" /> +<TGConnectingPoint num="0" id="947" /> +<TGConnectingPoint num="1" id="948" /> +<TGConnectingPoint num="2" id="949" /> +<TGConnectingPoint num="3" id="950" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3804,26 +3804,26 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<CONNECTOR type="5102" id="942" > +<CONNECTOR type="5102" id="960" > <cdparam x="407" y="680" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="null" /> -<TGConnectingPoint num="0" id="941" /> -<P1 x="388" y="628" id="1295" /> -<P2 x="388" y="662" id="943" /> +<TGConnectingPoint num="0" id="959" /> +<P1 x="388" y="628" id="1313" /> +<P2 x="388" y="662" id="961" /> <AutomaticDrawing data="true" /> -</CONNECTOR><SUBCOMPONENT type="-1" id="940" > -<father id="942" num="0" /> +</CONNECTOR><SUBCOMPONENT type="-1" id="958" > +<father id="960" num="0" /> <cdparam x="404" y="694" /> <sizeparam width="10" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="List of all parameters of an Avatar SMD transition" value="" /> -<TGConnectingPoint num="0" id="936" /> -<TGConnectingPoint num="1" id="937" /> -<TGConnectingPoint num="2" id="938" /> -<TGConnectingPoint num="3" id="939" /> +<TGConnectingPoint num="0" id="954" /> +<TGConnectingPoint num="1" id="955" /> +<TGConnectingPoint num="2" id="956" /> +<TGConnectingPoint num="3" id="957" /> <extraparam> <guard value="[ ]" enabled="true"/> <afterMin value="" enabled="true"/> @@ -3834,76 +3834,76 @@ state 2: obstacles in close proximity </extraparam> </SUBCOMPONENT> -<COMPONENT type="5107" id="958" > +<COMPONENT type="5107" id="976" > <cdparam x="373" y="672" /> <sizeparam width="30" height="30" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="choice" value="null" /> -<TGConnectingPoint num="0" id="943" /> -<TGConnectingPoint num="1" id="944" /> -<TGConnectingPoint num="2" id="945" /> -<TGConnectingPoint num="3" id="946" /> -<TGConnectingPoint num="4" id="947" /> -<TGConnectingPoint num="5" id="948" /> -<TGConnectingPoint num="6" id="949" /> -<TGConnectingPoint num="7" id="950" /> -<TGConnectingPoint num="8" id="951" /> -<TGConnectingPoint num="9" id="952" /> -<TGConnectingPoint num="10" id="953" /> -<TGConnectingPoint num="11" id="954" /> -<TGConnectingPoint num="12" id="955" /> -<TGConnectingPoint num="13" id="956" /> -<TGConnectingPoint num="14" id="957" /> -</COMPONENT> - -<COMPONENT type="5106" id="999" > +<TGConnectingPoint num="0" id="961" /> +<TGConnectingPoint num="1" id="962" /> +<TGConnectingPoint num="2" id="963" /> +<TGConnectingPoint num="3" id="964" /> +<TGConnectingPoint num="4" id="965" /> +<TGConnectingPoint num="5" id="966" /> +<TGConnectingPoint num="6" id="967" /> +<TGConnectingPoint num="7" id="968" /> +<TGConnectingPoint num="8" id="969" /> +<TGConnectingPoint num="9" id="970" /> +<TGConnectingPoint num="10" id="971" /> +<TGConnectingPoint num="11" id="972" /> +<TGConnectingPoint num="12" id="973" /> +<TGConnectingPoint num="13" id="974" /> +<TGConnectingPoint num="14" id="975" /> +</COMPONENT> + +<COMPONENT type="5106" id="1017" > <cdparam x="297" y="167" /> <sizeparam width="198" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="readDistanceSensor" /> -<TGConnectingPoint num="0" id="959" /> -<TGConnectingPoint num="1" id="960" /> -<TGConnectingPoint num="2" id="961" /> -<TGConnectingPoint num="3" id="962" /> -<TGConnectingPoint num="4" id="963" /> -<TGConnectingPoint num="5" id="964" /> -<TGConnectingPoint num="6" id="965" /> -<TGConnectingPoint num="7" id="966" /> -<TGConnectingPoint num="8" id="967" /> -<TGConnectingPoint num="9" id="968" /> -<TGConnectingPoint num="10" id="969" /> -<TGConnectingPoint num="11" id="970" /> -<TGConnectingPoint num="12" id="971" /> -<TGConnectingPoint num="13" id="972" /> -<TGConnectingPoint num="14" id="973" /> -<TGConnectingPoint num="15" id="974" /> -<TGConnectingPoint num="16" id="975" /> -<TGConnectingPoint num="17" id="976" /> -<TGConnectingPoint num="18" id="977" /> -<TGConnectingPoint num="19" id="978" /> -<TGConnectingPoint num="20" id="979" /> -<TGConnectingPoint num="21" id="980" /> -<TGConnectingPoint num="22" id="981" /> -<TGConnectingPoint num="23" id="982" /> -<TGConnectingPoint num="24" id="983" /> -<TGConnectingPoint num="25" id="984" /> -<TGConnectingPoint num="26" id="985" /> -<TGConnectingPoint num="27" id="986" /> -<TGConnectingPoint num="28" id="987" /> -<TGConnectingPoint num="29" id="988" /> -<TGConnectingPoint num="30" id="989" /> -<TGConnectingPoint num="31" id="990" /> -<TGConnectingPoint num="32" id="991" /> -<TGConnectingPoint num="33" id="992" /> -<TGConnectingPoint num="34" id="993" /> -<TGConnectingPoint num="35" id="994" /> -<TGConnectingPoint num="36" id="995" /> -<TGConnectingPoint num="37" id="996" /> -<TGConnectingPoint num="38" id="997" /> -<TGConnectingPoint num="39" id="998" /> +<TGConnectingPoint num="0" id="977" /> +<TGConnectingPoint num="1" id="978" /> +<TGConnectingPoint num="2" id="979" /> +<TGConnectingPoint num="3" id="980" /> +<TGConnectingPoint num="4" id="981" /> +<TGConnectingPoint num="5" id="982" /> +<TGConnectingPoint num="6" id="983" /> +<TGConnectingPoint num="7" id="984" /> +<TGConnectingPoint num="8" id="985" /> +<TGConnectingPoint num="9" id="986" /> +<TGConnectingPoint num="10" id="987" /> +<TGConnectingPoint num="11" id="988" /> +<TGConnectingPoint num="12" id="989" /> +<TGConnectingPoint num="13" id="990" /> +<TGConnectingPoint num="14" id="991" /> +<TGConnectingPoint num="15" id="992" /> +<TGConnectingPoint num="16" id="993" /> +<TGConnectingPoint num="17" id="994" /> +<TGConnectingPoint num="18" id="995" /> +<TGConnectingPoint num="19" id="996" /> +<TGConnectingPoint num="20" id="997" /> +<TGConnectingPoint num="21" id="998" /> +<TGConnectingPoint num="22" id="999" /> +<TGConnectingPoint num="23" id="1000" /> +<TGConnectingPoint num="24" id="1001" /> +<TGConnectingPoint num="25" id="1002" /> +<TGConnectingPoint num="26" id="1003" /> +<TGConnectingPoint num="27" id="1004" /> +<TGConnectingPoint num="28" id="1005" /> +<TGConnectingPoint num="29" id="1006" /> +<TGConnectingPoint num="30" id="1007" /> +<TGConnectingPoint num="31" id="1008" /> +<TGConnectingPoint num="32" id="1009" /> +<TGConnectingPoint num="33" id="1010" /> +<TGConnectingPoint num="34" id="1011" /> +<TGConnectingPoint num="35" id="1012" /> +<TGConnectingPoint num="36" id="1013" /> +<TGConnectingPoint num="37" id="1014" /> +<TGConnectingPoint num="38" id="1015" /> +<TGConnectingPoint num="39" id="1016" /> <extraparam> <entryCode value="write_gpio2vci(1, "distance_sensor");" /> <entryCode value="distanceFront = read_gpio2vci("distance_sensor");" /> @@ -3919,768 +3919,768 @@ state 2: obstacles in close proximity </extraparam> </COMPONENT> -<COMPONENT type="5106" id="1040" > +<COMPONENT type="5106" id="1058" > <cdparam x="499" y="1285" /> <sizeparam width="100" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="turnRight" /> -<TGConnectingPoint num="0" id="1000" /> -<TGConnectingPoint num="1" id="1001" /> -<TGConnectingPoint num="2" id="1002" /> -<TGConnectingPoint num="3" id="1003" /> -<TGConnectingPoint num="4" id="1004" /> -<TGConnectingPoint num="5" id="1005" /> -<TGConnectingPoint num="6" id="1006" /> -<TGConnectingPoint num="7" id="1007" /> -<TGConnectingPoint num="8" id="1008" /> -<TGConnectingPoint num="9" id="1009" /> -<TGConnectingPoint num="10" id="1010" /> -<TGConnectingPoint num="11" id="1011" /> -<TGConnectingPoint num="12" id="1012" /> -<TGConnectingPoint num="13" id="1013" /> -<TGConnectingPoint num="14" id="1014" /> -<TGConnectingPoint num="15" id="1015" /> -<TGConnectingPoint num="16" id="1016" /> -<TGConnectingPoint num="17" id="1017" /> -<TGConnectingPoint num="18" id="1018" /> -<TGConnectingPoint num="19" id="1019" /> -<TGConnectingPoint num="20" id="1020" /> -<TGConnectingPoint num="21" id="1021" /> -<TGConnectingPoint num="22" id="1022" /> -<TGConnectingPoint num="23" id="1023" /> -<TGConnectingPoint num="24" id="1024" /> -<TGConnectingPoint num="25" id="1025" /> -<TGConnectingPoint num="26" id="1026" /> -<TGConnectingPoint num="27" id="1027" /> -<TGConnectingPoint num="28" id="1028" /> -<TGConnectingPoint num="29" id="1029" /> -<TGConnectingPoint num="30" id="1030" /> -<TGConnectingPoint num="31" id="1031" /> -<TGConnectingPoint num="32" id="1032" /> -<TGConnectingPoint num="33" id="1033" /> -<TGConnectingPoint num="34" id="1034" /> -<TGConnectingPoint num="35" id="1035" /> -<TGConnectingPoint num="36" id="1036" /> -<TGConnectingPoint num="37" id="1037" /> -<TGConnectingPoint num="38" id="1038" /> -<TGConnectingPoint num="39" id="1039" /> -<extraparam> -</extraparam> -</COMPONENT> - -<COMPONENT type="5106" id="1081" > +<TGConnectingPoint num="0" id="1018" /> +<TGConnectingPoint num="1" id="1019" /> +<TGConnectingPoint num="2" id="1020" /> +<TGConnectingPoint num="3" id="1021" /> +<TGConnectingPoint num="4" id="1022" /> +<TGConnectingPoint num="5" id="1023" /> +<TGConnectingPoint num="6" id="1024" /> +<TGConnectingPoint num="7" id="1025" /> +<TGConnectingPoint num="8" id="1026" /> +<TGConnectingPoint num="9" id="1027" /> +<TGConnectingPoint num="10" id="1028" /> +<TGConnectingPoint num="11" id="1029" /> +<TGConnectingPoint num="12" id="1030" /> +<TGConnectingPoint num="13" id="1031" /> +<TGConnectingPoint num="14" id="1032" /> +<TGConnectingPoint num="15" id="1033" /> +<TGConnectingPoint num="16" id="1034" /> +<TGConnectingPoint num="17" id="1035" /> +<TGConnectingPoint num="18" id="1036" /> +<TGConnectingPoint num="19" id="1037" /> +<TGConnectingPoint num="20" id="1038" /> +<TGConnectingPoint num="21" id="1039" /> +<TGConnectingPoint num="22" id="1040" /> +<TGConnectingPoint num="23" id="1041" /> +<TGConnectingPoint num="24" id="1042" /> +<TGConnectingPoint num="25" id="1043" /> +<TGConnectingPoint num="26" id="1044" /> +<TGConnectingPoint num="27" id="1045" /> +<TGConnectingPoint num="28" id="1046" /> +<TGConnectingPoint num="29" id="1047" /> +<TGConnectingPoint num="30" id="1048" /> +<TGConnectingPoint num="31" id="1049" /> +<TGConnectingPoint num="32" id="1050" /> +<TGConnectingPoint num="33" id="1051" /> +<TGConnectingPoint num="34" id="1052" /> +<TGConnectingPoint num="35" id="1053" /> +<TGConnectingPoint num="36" id="1054" /> +<TGConnectingPoint num="37" id="1055" /> +<TGConnectingPoint num="38" id="1056" /> +<TGConnectingPoint num="39" id="1057" /> +<extraparam> +</extraparam> +</COMPONENT> + +<COMPONENT type="5106" id="1099" > <cdparam x="378" y="1287" /> <sizeparam width="100" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="turnLeft" /> -<TGConnectingPoint num="0" id="1041" /> -<TGConnectingPoint num="1" id="1042" /> -<TGConnectingPoint num="2" id="1043" /> -<TGConnectingPoint num="3" id="1044" /> -<TGConnectingPoint num="4" id="1045" /> -<TGConnectingPoint num="5" id="1046" /> -<TGConnectingPoint num="6" id="1047" /> -<TGConnectingPoint num="7" id="1048" /> -<TGConnectingPoint num="8" id="1049" /> -<TGConnectingPoint num="9" id="1050" /> -<TGConnectingPoint num="10" id="1051" /> -<TGConnectingPoint num="11" id="1052" /> -<TGConnectingPoint num="12" id="1053" /> -<TGConnectingPoint num="13" id="1054" /> -<TGConnectingPoint num="14" id="1055" /> -<TGConnectingPoint num="15" id="1056" /> -<TGConnectingPoint num="16" id="1057" /> -<TGConnectingPoint num="17" id="1058" /> -<TGConnectingPoint num="18" id="1059" /> -<TGConnectingPoint num="19" id="1060" /> -<TGConnectingPoint num="20" id="1061" /> -<TGConnectingPoint num="21" id="1062" /> -<TGConnectingPoint num="22" id="1063" /> -<TGConnectingPoint num="23" id="1064" /> -<TGConnectingPoint num="24" id="1065" /> -<TGConnectingPoint num="25" id="1066" /> -<TGConnectingPoint num="26" id="1067" /> -<TGConnectingPoint num="27" id="1068" /> -<TGConnectingPoint num="28" id="1069" /> -<TGConnectingPoint num="29" id="1070" /> -<TGConnectingPoint num="30" id="1071" /> -<TGConnectingPoint num="31" id="1072" /> -<TGConnectingPoint num="32" id="1073" /> -<TGConnectingPoint num="33" id="1074" /> -<TGConnectingPoint num="34" id="1075" /> -<TGConnectingPoint num="35" id="1076" /> -<TGConnectingPoint num="36" id="1077" /> -<TGConnectingPoint num="37" id="1078" /> -<TGConnectingPoint num="38" id="1079" /> -<TGConnectingPoint num="39" id="1080" /> -<extraparam> -</extraparam> -</COMPONENT> - -<COMPONENT type="5107" id="1097" > +<TGConnectingPoint num="0" id="1059" /> +<TGConnectingPoint num="1" id="1060" /> +<TGConnectingPoint num="2" id="1061" /> +<TGConnectingPoint num="3" id="1062" /> +<TGConnectingPoint num="4" id="1063" /> +<TGConnectingPoint num="5" id="1064" /> +<TGConnectingPoint num="6" id="1065" /> +<TGConnectingPoint num="7" id="1066" /> +<TGConnectingPoint num="8" id="1067" /> +<TGConnectingPoint num="9" id="1068" /> +<TGConnectingPoint num="10" id="1069" /> +<TGConnectingPoint num="11" id="1070" /> +<TGConnectingPoint num="12" id="1071" /> +<TGConnectingPoint num="13" id="1072" /> +<TGConnectingPoint num="14" id="1073" /> +<TGConnectingPoint num="15" id="1074" /> +<TGConnectingPoint num="16" id="1075" /> +<TGConnectingPoint num="17" id="1076" /> +<TGConnectingPoint num="18" id="1077" /> +<TGConnectingPoint num="19" id="1078" /> +<TGConnectingPoint num="20" id="1079" /> +<TGConnectingPoint num="21" id="1080" /> +<TGConnectingPoint num="22" id="1081" /> +<TGConnectingPoint num="23" id="1082" /> +<TGConnectingPoint num="24" id="1083" /> +<TGConnectingPoint num="25" id="1084" /> +<TGConnectingPoint num="26" id="1085" /> +<TGConnectingPoint num="27" id="1086" /> +<TGConnectingPoint num="28" id="1087" /> +<TGConnectingPoint num="29" id="1088" /> +<TGConnectingPoint num="30" id="1089" /> +<TGConnectingPoint num="31" id="1090" /> +<TGConnectingPoint num="32" id="1091" /> +<TGConnectingPoint num="33" id="1092" /> +<TGConnectingPoint num="34" id="1093" /> +<TGConnectingPoint num="35" id="1094" /> +<TGConnectingPoint num="36" id="1095" /> +<TGConnectingPoint num="37" id="1096" /> +<TGConnectingPoint num="38" id="1097" /> +<TGConnectingPoint num="39" id="1098" /> +<extraparam> +</extraparam> +</COMPONENT> + +<COMPONENT type="5107" id="1115" > <cdparam x="479" y="1207" /> <sizeparam width="30" height="30" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="choice" value="null" /> -<TGConnectingPoint num="0" id="1082" /> -<TGConnectingPoint num="1" id="1083" /> -<TGConnectingPoint num="2" id="1084" /> -<TGConnectingPoint num="3" id="1085" /> -<TGConnectingPoint num="4" id="1086" /> -<TGConnectingPoint num="5" id="1087" /> -<TGConnectingPoint num="6" id="1088" /> -<TGConnectingPoint num="7" id="1089" /> -<TGConnectingPoint num="8" id="1090" /> -<TGConnectingPoint num="9" id="1091" /> -<TGConnectingPoint num="10" id="1092" /> -<TGConnectingPoint num="11" id="1093" /> -<TGConnectingPoint num="12" id="1094" /> -<TGConnectingPoint num="13" id="1095" /> -<TGConnectingPoint num="14" id="1096" /> -</COMPONENT> - -<COMPONENT type="5106" id="1138" > +<TGConnectingPoint num="0" id="1100" /> +<TGConnectingPoint num="1" id="1101" /> +<TGConnectingPoint num="2" id="1102" /> +<TGConnectingPoint num="3" id="1103" /> +<TGConnectingPoint num="4" id="1104" /> +<TGConnectingPoint num="5" id="1105" /> +<TGConnectingPoint num="6" id="1106" /> +<TGConnectingPoint num="7" id="1107" /> +<TGConnectingPoint num="8" id="1108" /> +<TGConnectingPoint num="9" id="1109" /> +<TGConnectingPoint num="10" id="1110" /> +<TGConnectingPoint num="11" id="1111" /> +<TGConnectingPoint num="12" id="1112" /> +<TGConnectingPoint num="13" id="1113" /> +<TGConnectingPoint num="14" id="1114" /> +</COMPONENT> + +<COMPONENT type="5106" id="1156" > <cdparam x="282" y="1421" /> <sizeparam width="304" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="sendMotorCommand" /> -<TGConnectingPoint num="0" id="1098" /> -<TGConnectingPoint num="1" id="1099" /> -<TGConnectingPoint num="2" id="1100" /> -<TGConnectingPoint num="3" id="1101" /> -<TGConnectingPoint num="4" id="1102" /> -<TGConnectingPoint num="5" id="1103" /> -<TGConnectingPoint num="6" id="1104" /> -<TGConnectingPoint num="7" id="1105" /> -<TGConnectingPoint num="8" id="1106" /> -<TGConnectingPoint num="9" id="1107" /> -<TGConnectingPoint num="10" id="1108" /> -<TGConnectingPoint num="11" id="1109" /> -<TGConnectingPoint num="12" id="1110" /> -<TGConnectingPoint num="13" id="1111" /> -<TGConnectingPoint num="14" id="1112" /> -<TGConnectingPoint num="15" id="1113" /> -<TGConnectingPoint num="16" id="1114" /> -<TGConnectingPoint num="17" id="1115" /> -<TGConnectingPoint num="18" id="1116" /> -<TGConnectingPoint num="19" id="1117" /> -<TGConnectingPoint num="20" id="1118" /> -<TGConnectingPoint num="21" id="1119" /> -<TGConnectingPoint num="22" id="1120" /> -<TGConnectingPoint num="23" id="1121" /> -<TGConnectingPoint num="24" id="1122" /> -<TGConnectingPoint num="25" id="1123" /> -<TGConnectingPoint num="26" id="1124" /> -<TGConnectingPoint num="27" id="1125" /> -<TGConnectingPoint num="28" id="1126" /> -<TGConnectingPoint num="29" id="1127" /> -<TGConnectingPoint num="30" id="1128" /> -<TGConnectingPoint num="31" id="1129" /> -<TGConnectingPoint num="32" id="1130" /> -<TGConnectingPoint num="33" id="1131" /> -<TGConnectingPoint num="34" id="1132" /> -<TGConnectingPoint num="35" id="1133" /> -<TGConnectingPoint num="36" id="1134" /> -<TGConnectingPoint num="37" id="1135" /> -<TGConnectingPoint num="38" id="1136" /> -<TGConnectingPoint num="39" id="1137" /> -<extraparam> -</extraparam> -</COMPONENT> - -<COMPONENT type="5106" id="1179" > +<TGConnectingPoint num="0" id="1116" /> +<TGConnectingPoint num="1" id="1117" /> +<TGConnectingPoint num="2" id="1118" /> +<TGConnectingPoint num="3" id="1119" /> +<TGConnectingPoint num="4" id="1120" /> +<TGConnectingPoint num="5" id="1121" /> +<TGConnectingPoint num="6" id="1122" /> +<TGConnectingPoint num="7" id="1123" /> +<TGConnectingPoint num="8" id="1124" /> +<TGConnectingPoint num="9" id="1125" /> +<TGConnectingPoint num="10" id="1126" /> +<TGConnectingPoint num="11" id="1127" /> +<TGConnectingPoint num="12" id="1128" /> +<TGConnectingPoint num="13" id="1129" /> +<TGConnectingPoint num="14" id="1130" /> +<TGConnectingPoint num="15" id="1131" /> +<TGConnectingPoint num="16" id="1132" /> +<TGConnectingPoint num="17" id="1133" /> +<TGConnectingPoint num="18" id="1134" /> +<TGConnectingPoint num="19" id="1135" /> +<TGConnectingPoint num="20" id="1136" /> +<TGConnectingPoint num="21" id="1137" /> +<TGConnectingPoint num="22" id="1138" /> +<TGConnectingPoint num="23" id="1139" /> +<TGConnectingPoint num="24" id="1140" /> +<TGConnectingPoint num="25" id="1141" /> +<TGConnectingPoint num="26" id="1142" /> +<TGConnectingPoint num="27" id="1143" /> +<TGConnectingPoint num="28" id="1144" /> +<TGConnectingPoint num="29" id="1145" /> +<TGConnectingPoint num="30" id="1146" /> +<TGConnectingPoint num="31" id="1147" /> +<TGConnectingPoint num="32" id="1148" /> +<TGConnectingPoint num="33" id="1149" /> +<TGConnectingPoint num="34" id="1150" /> +<TGConnectingPoint num="35" id="1151" /> +<TGConnectingPoint num="36" id="1152" /> +<TGConnectingPoint num="37" id="1153" /> +<TGConnectingPoint num="38" id="1154" /> +<TGConnectingPoint num="39" id="1155" /> +<extraparam> +</extraparam> +</COMPONENT> + +<COMPONENT type="5106" id="1197" > <cdparam x="424" y="1106" /> <sizeparam width="143" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="dodgeObstacle" /> -<TGConnectingPoint num="0" id="1139" /> -<TGConnectingPoint num="1" id="1140" /> -<TGConnectingPoint num="2" id="1141" /> -<TGConnectingPoint num="3" id="1142" /> -<TGConnectingPoint num="4" id="1143" /> -<TGConnectingPoint num="5" id="1144" /> -<TGConnectingPoint num="6" id="1145" /> -<TGConnectingPoint num="7" id="1146" /> -<TGConnectingPoint num="8" id="1147" /> -<TGConnectingPoint num="9" id="1148" /> -<TGConnectingPoint num="10" id="1149" /> -<TGConnectingPoint num="11" id="1150" /> -<TGConnectingPoint num="12" id="1151" /> -<TGConnectingPoint num="13" id="1152" /> -<TGConnectingPoint num="14" id="1153" /> -<TGConnectingPoint num="15" id="1154" /> -<TGConnectingPoint num="16" id="1155" /> -<TGConnectingPoint num="17" id="1156" /> -<TGConnectingPoint num="18" id="1157" /> -<TGConnectingPoint num="19" id="1158" /> -<TGConnectingPoint num="20" id="1159" /> -<TGConnectingPoint num="21" id="1160" /> -<TGConnectingPoint num="22" id="1161" /> -<TGConnectingPoint num="23" id="1162" /> -<TGConnectingPoint num="24" id="1163" /> -<TGConnectingPoint num="25" id="1164" /> -<TGConnectingPoint num="26" id="1165" /> -<TGConnectingPoint num="27" id="1166" /> -<TGConnectingPoint num="28" id="1167" /> -<TGConnectingPoint num="29" id="1168" /> -<TGConnectingPoint num="30" id="1169" /> -<TGConnectingPoint num="31" id="1170" /> -<TGConnectingPoint num="32" id="1171" /> -<TGConnectingPoint num="33" id="1172" /> -<TGConnectingPoint num="34" id="1173" /> -<TGConnectingPoint num="35" id="1174" /> -<TGConnectingPoint num="36" id="1175" /> -<TGConnectingPoint num="37" id="1176" /> -<TGConnectingPoint num="38" id="1177" /> -<TGConnectingPoint num="39" id="1178" /> -<extraparam> -</extraparam> -</COMPONENT> - -<COMPONENT type="5107" id="1195" > +<TGConnectingPoint num="0" id="1157" /> +<TGConnectingPoint num="1" id="1158" /> +<TGConnectingPoint num="2" id="1159" /> +<TGConnectingPoint num="3" id="1160" /> +<TGConnectingPoint num="4" id="1161" /> +<TGConnectingPoint num="5" id="1162" /> +<TGConnectingPoint num="6" id="1163" /> +<TGConnectingPoint num="7" id="1164" /> +<TGConnectingPoint num="8" id="1165" /> +<TGConnectingPoint num="9" id="1166" /> +<TGConnectingPoint num="10" id="1167" /> +<TGConnectingPoint num="11" id="1168" /> +<TGConnectingPoint num="12" id="1169" /> +<TGConnectingPoint num="13" id="1170" /> +<TGConnectingPoint num="14" id="1171" /> +<TGConnectingPoint num="15" id="1172" /> +<TGConnectingPoint num="16" id="1173" /> +<TGConnectingPoint num="17" id="1174" /> +<TGConnectingPoint num="18" id="1175" /> +<TGConnectingPoint num="19" id="1176" /> +<TGConnectingPoint num="20" id="1177" /> +<TGConnectingPoint num="21" id="1178" /> +<TGConnectingPoint num="22" id="1179" /> +<TGConnectingPoint num="23" id="1180" /> +<TGConnectingPoint num="24" id="1181" /> +<TGConnectingPoint num="25" id="1182" /> +<TGConnectingPoint num="26" id="1183" /> +<TGConnectingPoint num="27" id="1184" /> +<TGConnectingPoint num="28" id="1185" /> +<TGConnectingPoint num="29" id="1186" /> +<TGConnectingPoint num="30" id="1187" /> +<TGConnectingPoint num="31" id="1188" /> +<TGConnectingPoint num="32" id="1189" /> +<TGConnectingPoint num="33" id="1190" /> +<TGConnectingPoint num="34" id="1191" /> +<TGConnectingPoint num="35" id="1192" /> +<TGConnectingPoint num="36" id="1193" /> +<TGConnectingPoint num="37" id="1194" /> +<TGConnectingPoint num="38" id="1195" /> +<TGConnectingPoint num="39" id="1196" /> +<extraparam> +</extraparam> +</COMPONENT> + +<COMPONENT type="5107" id="1213" > <cdparam x="375" y="929" /> <sizeparam width="30" height="30" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="choice" value="null" /> -<TGConnectingPoint num="0" id="1180" /> -<TGConnectingPoint num="1" id="1181" /> -<TGConnectingPoint num="2" id="1182" /> -<TGConnectingPoint num="3" id="1183" /> -<TGConnectingPoint num="4" id="1184" /> -<TGConnectingPoint num="5" id="1185" /> -<TGConnectingPoint num="6" id="1186" /> -<TGConnectingPoint num="7" id="1187" /> -<TGConnectingPoint num="8" id="1188" /> -<TGConnectingPoint num="9" id="1189" /> -<TGConnectingPoint num="10" id="1190" /> -<TGConnectingPoint num="11" id="1191" /> -<TGConnectingPoint num="12" id="1192" /> -<TGConnectingPoint num="13" id="1193" /> -<TGConnectingPoint num="14" id="1194" /> -</COMPONENT> - -<COMPONENT type="5103" id="1206" > +<TGConnectingPoint num="0" id="1198" /> +<TGConnectingPoint num="1" id="1199" /> +<TGConnectingPoint num="2" id="1200" /> +<TGConnectingPoint num="3" id="1201" /> +<TGConnectingPoint num="4" id="1202" /> +<TGConnectingPoint num="5" id="1203" /> +<TGConnectingPoint num="6" id="1204" /> +<TGConnectingPoint num="7" id="1205" /> +<TGConnectingPoint num="8" id="1206" /> +<TGConnectingPoint num="9" id="1207" /> +<TGConnectingPoint num="10" id="1208" /> +<TGConnectingPoint num="11" id="1209" /> +<TGConnectingPoint num="12" id="1210" /> +<TGConnectingPoint num="13" id="1211" /> +<TGConnectingPoint num="14" id="1212" /> +</COMPONENT> + +<COMPONENT type="5103" id="1224" > <cdparam x="309" y="1508" /> <sizeparam width="251" height="20" minWidth="30" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="Send signal" value="motorCommand(leftVelocity, rightVelocity)" /> -<TGConnectingPoint num="0" id="1196" /> -<TGConnectingPoint num="1" id="1197" /> -<TGConnectingPoint num="2" id="1198" /> -<TGConnectingPoint num="3" id="1199" /> -<TGConnectingPoint num="4" id="1200" /> -<TGConnectingPoint num="5" id="1201" /> -<TGConnectingPoint num="6" id="1202" /> -<TGConnectingPoint num="7" id="1203" /> -<TGConnectingPoint num="8" id="1204" /> -<TGConnectingPoint num="9" id="1205" /> -</COMPONENT> - -<COMPONENT type="5106" id="1247" > +<TGConnectingPoint num="0" id="1214" /> +<TGConnectingPoint num="1" id="1215" /> +<TGConnectingPoint num="2" id="1216" /> +<TGConnectingPoint num="3" id="1217" /> +<TGConnectingPoint num="4" id="1218" /> +<TGConnectingPoint num="5" id="1219" /> +<TGConnectingPoint num="6" id="1220" /> +<TGConnectingPoint num="7" id="1221" /> +<TGConnectingPoint num="8" id="1222" /> +<TGConnectingPoint num="9" id="1223" /> +</COMPONENT> + +<COMPONENT type="5106" id="1265" > <cdparam x="302" y="833" /> <sizeparam width="176" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="turnDecision" /> -<TGConnectingPoint num="0" id="1207" /> -<TGConnectingPoint num="1" id="1208" /> -<TGConnectingPoint num="2" id="1209" /> -<TGConnectingPoint num="3" id="1210" /> -<TGConnectingPoint num="4" id="1211" /> -<TGConnectingPoint num="5" id="1212" /> -<TGConnectingPoint num="6" id="1213" /> -<TGConnectingPoint num="7" id="1214" /> -<TGConnectingPoint num="8" id="1215" /> -<TGConnectingPoint num="9" id="1216" /> -<TGConnectingPoint num="10" id="1217" /> -<TGConnectingPoint num="11" id="1218" /> -<TGConnectingPoint num="12" id="1219" /> -<TGConnectingPoint num="13" id="1220" /> -<TGConnectingPoint num="14" id="1221" /> -<TGConnectingPoint num="15" id="1222" /> -<TGConnectingPoint num="16" id="1223" /> -<TGConnectingPoint num="17" id="1224" /> -<TGConnectingPoint num="18" id="1225" /> -<TGConnectingPoint num="19" id="1226" /> -<TGConnectingPoint num="20" id="1227" /> -<TGConnectingPoint num="21" id="1228" /> -<TGConnectingPoint num="22" id="1229" /> -<TGConnectingPoint num="23" id="1230" /> -<TGConnectingPoint num="24" id="1231" /> -<TGConnectingPoint num="25" id="1232" /> -<TGConnectingPoint num="26" id="1233" /> -<TGConnectingPoint num="27" id="1234" /> -<TGConnectingPoint num="28" id="1235" /> -<TGConnectingPoint num="29" id="1236" /> -<TGConnectingPoint num="30" id="1237" /> -<TGConnectingPoint num="31" id="1238" /> -<TGConnectingPoint num="32" id="1239" /> -<TGConnectingPoint num="33" id="1240" /> -<TGConnectingPoint num="34" id="1241" /> -<TGConnectingPoint num="35" id="1242" /> -<TGConnectingPoint num="36" id="1243" /> -<TGConnectingPoint num="37" id="1244" /> -<TGConnectingPoint num="38" id="1245" /> -<TGConnectingPoint num="39" id="1246" /> -<extraparam> -</extraparam> -</COMPONENT> - -<COMPONENT type="5106" id="1288" > +<TGConnectingPoint num="0" id="1225" /> +<TGConnectingPoint num="1" id="1226" /> +<TGConnectingPoint num="2" id="1227" /> +<TGConnectingPoint num="3" id="1228" /> +<TGConnectingPoint num="4" id="1229" /> +<TGConnectingPoint num="5" id="1230" /> +<TGConnectingPoint num="6" id="1231" /> +<TGConnectingPoint num="7" id="1232" /> +<TGConnectingPoint num="8" id="1233" /> +<TGConnectingPoint num="9" id="1234" /> +<TGConnectingPoint num="10" id="1235" /> +<TGConnectingPoint num="11" id="1236" /> +<TGConnectingPoint num="12" id="1237" /> +<TGConnectingPoint num="13" id="1238" /> +<TGConnectingPoint num="14" id="1239" /> +<TGConnectingPoint num="15" id="1240" /> +<TGConnectingPoint num="16" id="1241" /> +<TGConnectingPoint num="17" id="1242" /> +<TGConnectingPoint num="18" id="1243" /> +<TGConnectingPoint num="19" id="1244" /> +<TGConnectingPoint num="20" id="1245" /> +<TGConnectingPoint num="21" id="1246" /> +<TGConnectingPoint num="22" id="1247" /> +<TGConnectingPoint num="23" id="1248" /> +<TGConnectingPoint num="24" id="1249" /> +<TGConnectingPoint num="25" id="1250" /> +<TGConnectingPoint num="26" id="1251" /> +<TGConnectingPoint num="27" id="1252" /> +<TGConnectingPoint num="28" id="1253" /> +<TGConnectingPoint num="29" id="1254" /> +<TGConnectingPoint num="30" id="1255" /> +<TGConnectingPoint num="31" id="1256" /> +<TGConnectingPoint num="32" id="1257" /> +<TGConnectingPoint num="33" id="1258" /> +<TGConnectingPoint num="34" id="1259" /> +<TGConnectingPoint num="35" id="1260" /> +<TGConnectingPoint num="36" id="1261" /> +<TGConnectingPoint num="37" id="1262" /> +<TGConnectingPoint num="38" id="1263" /> +<TGConnectingPoint num="39" id="1264" /> +<extraparam> +</extraparam> +</COMPONENT> + +<COMPONENT type="5106" id="1306" > <cdparam x="297" y="742" /> <sizeparam width="187" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="setTempSensor" /> -<TGConnectingPoint num="0" id="1248" /> -<TGConnectingPoint num="1" id="1249" /> -<TGConnectingPoint num="2" id="1250" /> -<TGConnectingPoint num="3" id="1251" /> -<TGConnectingPoint num="4" id="1252" /> -<TGConnectingPoint num="5" id="1253" /> -<TGConnectingPoint num="6" id="1254" /> -<TGConnectingPoint num="7" id="1255" /> -<TGConnectingPoint num="8" id="1256" /> -<TGConnectingPoint num="9" id="1257" /> -<TGConnectingPoint num="10" id="1258" /> -<TGConnectingPoint num="11" id="1259" /> -<TGConnectingPoint num="12" id="1260" /> -<TGConnectingPoint num="13" id="1261" /> -<TGConnectingPoint num="14" id="1262" /> -<TGConnectingPoint num="15" id="1263" /> -<TGConnectingPoint num="16" id="1264" /> -<TGConnectingPoint num="17" id="1265" /> -<TGConnectingPoint num="18" id="1266" /> -<TGConnectingPoint num="19" id="1267" /> -<TGConnectingPoint num="20" id="1268" /> -<TGConnectingPoint num="21" id="1269" /> -<TGConnectingPoint num="22" id="1270" /> -<TGConnectingPoint num="23" id="1271" /> -<TGConnectingPoint num="24" id="1272" /> -<TGConnectingPoint num="25" id="1273" /> -<TGConnectingPoint num="26" id="1274" /> -<TGConnectingPoint num="27" id="1275" /> -<TGConnectingPoint num="28" id="1276" /> -<TGConnectingPoint num="29" id="1277" /> -<TGConnectingPoint num="30" id="1278" /> -<TGConnectingPoint num="31" id="1279" /> -<TGConnectingPoint num="32" id="1280" /> -<TGConnectingPoint num="33" id="1281" /> -<TGConnectingPoint num="34" id="1282" /> -<TGConnectingPoint num="35" id="1283" /> -<TGConnectingPoint num="36" id="1284" /> -<TGConnectingPoint num="37" id="1285" /> -<TGConnectingPoint num="38" id="1286" /> -<TGConnectingPoint num="39" id="1287" /> +<TGConnectingPoint num="0" id="1266" /> +<TGConnectingPoint num="1" id="1267" /> +<TGConnectingPoint num="2" id="1268" /> +<TGConnectingPoint num="3" id="1269" /> +<TGConnectingPoint num="4" id="1270" /> +<TGConnectingPoint num="5" id="1271" /> +<TGConnectingPoint num="6" id="1272" /> +<TGConnectingPoint num="7" id="1273" /> +<TGConnectingPoint num="8" id="1274" /> +<TGConnectingPoint num="9" id="1275" /> +<TGConnectingPoint num="10" id="1276" /> +<TGConnectingPoint num="11" id="1277" /> +<TGConnectingPoint num="12" id="1278" /> +<TGConnectingPoint num="13" id="1279" /> +<TGConnectingPoint num="14" id="1280" /> +<TGConnectingPoint num="15" id="1281" /> +<TGConnectingPoint num="16" id="1282" /> +<TGConnectingPoint num="17" id="1283" /> +<TGConnectingPoint num="18" id="1284" /> +<TGConnectingPoint num="19" id="1285" /> +<TGConnectingPoint num="20" id="1286" /> +<TGConnectingPoint num="21" id="1287" /> +<TGConnectingPoint num="22" id="1288" /> +<TGConnectingPoint num="23" id="1289" /> +<TGConnectingPoint num="24" id="1290" /> +<TGConnectingPoint num="25" id="1291" /> +<TGConnectingPoint num="26" id="1292" /> +<TGConnectingPoint num="27" id="1293" /> +<TGConnectingPoint num="28" id="1294" /> +<TGConnectingPoint num="29" id="1295" /> +<TGConnectingPoint num="30" id="1296" /> +<TGConnectingPoint num="31" id="1297" /> +<TGConnectingPoint num="32" id="1298" /> +<TGConnectingPoint num="33" id="1299" /> +<TGConnectingPoint num="34" id="1300" /> +<TGConnectingPoint num="35" id="1301" /> +<TGConnectingPoint num="36" id="1302" /> +<TGConnectingPoint num="37" id="1303" /> +<TGConnectingPoint num="38" id="1304" /> +<TGConnectingPoint num="39" id="1305" /> <extraparam> <entryCode value="write_gpio2vci(sensorOn, "temperature_sensor");" /> </extraparam> </COMPONENT> -<COMPONENT type="5106" id="1329" > +<COMPONENT type="5106" id="1347" > <cdparam x="295" y="578" /> <sizeparam width="187" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="controlTempSensor" /> -<TGConnectingPoint num="0" id="1289" /> -<TGConnectingPoint num="1" id="1290" /> -<TGConnectingPoint num="2" id="1291" /> -<TGConnectingPoint num="3" id="1292" /> -<TGConnectingPoint num="4" id="1293" /> -<TGConnectingPoint num="5" id="1294" /> -<TGConnectingPoint num="6" id="1295" /> -<TGConnectingPoint num="7" id="1296" /> -<TGConnectingPoint num="8" id="1297" /> -<TGConnectingPoint num="9" id="1298" /> -<TGConnectingPoint num="10" id="1299" /> -<TGConnectingPoint num="11" id="1300" /> -<TGConnectingPoint num="12" id="1301" /> -<TGConnectingPoint num="13" id="1302" /> -<TGConnectingPoint num="14" id="1303" /> -<TGConnectingPoint num="15" id="1304" /> -<TGConnectingPoint num="16" id="1305" /> -<TGConnectingPoint num="17" id="1306" /> -<TGConnectingPoint num="18" id="1307" /> -<TGConnectingPoint num="19" id="1308" /> -<TGConnectingPoint num="20" id="1309" /> -<TGConnectingPoint num="21" id="1310" /> -<TGConnectingPoint num="22" id="1311" /> -<TGConnectingPoint num="23" id="1312" /> -<TGConnectingPoint num="24" id="1313" /> -<TGConnectingPoint num="25" id="1314" /> -<TGConnectingPoint num="26" id="1315" /> -<TGConnectingPoint num="27" id="1316" /> -<TGConnectingPoint num="28" id="1317" /> -<TGConnectingPoint num="29" id="1318" /> -<TGConnectingPoint num="30" id="1319" /> -<TGConnectingPoint num="31" id="1320" /> -<TGConnectingPoint num="32" id="1321" /> -<TGConnectingPoint num="33" id="1322" /> -<TGConnectingPoint num="34" id="1323" /> -<TGConnectingPoint num="35" id="1324" /> -<TGConnectingPoint num="36" id="1325" /> -<TGConnectingPoint num="37" id="1326" /> -<TGConnectingPoint num="38" id="1327" /> -<TGConnectingPoint num="39" id="1328" /> -<extraparam> -</extraparam> -</COMPONENT> - -<COMPONENT type="5106" id="1370" > +<TGConnectingPoint num="0" id="1307" /> +<TGConnectingPoint num="1" id="1308" /> +<TGConnectingPoint num="2" id="1309" /> +<TGConnectingPoint num="3" id="1310" /> +<TGConnectingPoint num="4" id="1311" /> +<TGConnectingPoint num="5" id="1312" /> +<TGConnectingPoint num="6" id="1313" /> +<TGConnectingPoint num="7" id="1314" /> +<TGConnectingPoint num="8" id="1315" /> +<TGConnectingPoint num="9" id="1316" /> +<TGConnectingPoint num="10" id="1317" /> +<TGConnectingPoint num="11" id="1318" /> +<TGConnectingPoint num="12" id="1319" /> +<TGConnectingPoint num="13" id="1320" /> +<TGConnectingPoint num="14" id="1321" /> +<TGConnectingPoint num="15" id="1322" /> +<TGConnectingPoint num="16" id="1323" /> +<TGConnectingPoint num="17" id="1324" /> +<TGConnectingPoint num="18" id="1325" /> +<TGConnectingPoint num="19" id="1326" /> +<TGConnectingPoint num="20" id="1327" /> +<TGConnectingPoint num="21" id="1328" /> +<TGConnectingPoint num="22" id="1329" /> +<TGConnectingPoint num="23" id="1330" /> +<TGConnectingPoint num="24" id="1331" /> +<TGConnectingPoint num="25" id="1332" /> +<TGConnectingPoint num="26" id="1333" /> +<TGConnectingPoint num="27" id="1334" /> +<TGConnectingPoint num="28" id="1335" /> +<TGConnectingPoint num="29" id="1336" /> +<TGConnectingPoint num="30" id="1337" /> +<TGConnectingPoint num="31" id="1338" /> +<TGConnectingPoint num="32" id="1339" /> +<TGConnectingPoint num="33" id="1340" /> +<TGConnectingPoint num="34" id="1341" /> +<TGConnectingPoint num="35" id="1342" /> +<TGConnectingPoint num="36" id="1343" /> +<TGConnectingPoint num="37" id="1344" /> +<TGConnectingPoint num="38" id="1345" /> +<TGConnectingPoint num="39" id="1346" /> +<extraparam> +</extraparam> +</COMPONENT> + +<COMPONENT type="5106" id="1388" > <cdparam x="314" y="262" /> <sizeparam width="164" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="calculateDistance" /> -<TGConnectingPoint num="0" id="1330" /> -<TGConnectingPoint num="1" id="1331" /> -<TGConnectingPoint num="2" id="1332" /> -<TGConnectingPoint num="3" id="1333" /> -<TGConnectingPoint num="4" id="1334" /> -<TGConnectingPoint num="5" id="1335" /> -<TGConnectingPoint num="6" id="1336" /> -<TGConnectingPoint num="7" id="1337" /> -<TGConnectingPoint num="8" id="1338" /> -<TGConnectingPoint num="9" id="1339" /> -<TGConnectingPoint num="10" id="1340" /> -<TGConnectingPoint num="11" id="1341" /> -<TGConnectingPoint num="12" id="1342" /> -<TGConnectingPoint num="13" id="1343" /> -<TGConnectingPoint num="14" id="1344" /> -<TGConnectingPoint num="15" id="1345" /> -<TGConnectingPoint num="16" id="1346" /> -<TGConnectingPoint num="17" id="1347" /> -<TGConnectingPoint num="18" id="1348" /> -<TGConnectingPoint num="19" id="1349" /> -<TGConnectingPoint num="20" id="1350" /> -<TGConnectingPoint num="21" id="1351" /> -<TGConnectingPoint num="22" id="1352" /> -<TGConnectingPoint num="23" id="1353" /> -<TGConnectingPoint num="24" id="1354" /> -<TGConnectingPoint num="25" id="1355" /> -<TGConnectingPoint num="26" id="1356" /> -<TGConnectingPoint num="27" id="1357" /> -<TGConnectingPoint num="28" id="1358" /> -<TGConnectingPoint num="29" id="1359" /> -<TGConnectingPoint num="30" id="1360" /> -<TGConnectingPoint num="31" id="1361" /> -<TGConnectingPoint num="32" id="1362" /> -<TGConnectingPoint num="33" id="1363" /> -<TGConnectingPoint num="34" id="1364" /> -<TGConnectingPoint num="35" id="1365" /> -<TGConnectingPoint num="36" id="1366" /> -<TGConnectingPoint num="37" id="1367" /> -<TGConnectingPoint num="38" id="1368" /> -<TGConnectingPoint num="39" id="1369" /> -<extraparam> -</extraparam> -</COMPONENT> - -<COMPONENT type="5106" id="1411" > +<TGConnectingPoint num="0" id="1348" /> +<TGConnectingPoint num="1" id="1349" /> +<TGConnectingPoint num="2" id="1350" /> +<TGConnectingPoint num="3" id="1351" /> +<TGConnectingPoint num="4" id="1352" /> +<TGConnectingPoint num="5" id="1353" /> +<TGConnectingPoint num="6" id="1354" /> +<TGConnectingPoint num="7" id="1355" /> +<TGConnectingPoint num="8" id="1356" /> +<TGConnectingPoint num="9" id="1357" /> +<TGConnectingPoint num="10" id="1358" /> +<TGConnectingPoint num="11" id="1359" /> +<TGConnectingPoint num="12" id="1360" /> +<TGConnectingPoint num="13" id="1361" /> +<TGConnectingPoint num="14" id="1362" /> +<TGConnectingPoint num="15" id="1363" /> +<TGConnectingPoint num="16" id="1364" /> +<TGConnectingPoint num="17" id="1365" /> +<TGConnectingPoint num="18" id="1366" /> +<TGConnectingPoint num="19" id="1367" /> +<TGConnectingPoint num="20" id="1368" /> +<TGConnectingPoint num="21" id="1369" /> +<TGConnectingPoint num="22" id="1370" /> +<TGConnectingPoint num="23" id="1371" /> +<TGConnectingPoint num="24" id="1372" /> +<TGConnectingPoint num="25" id="1373" /> +<TGConnectingPoint num="26" id="1374" /> +<TGConnectingPoint num="27" id="1375" /> +<TGConnectingPoint num="28" id="1376" /> +<TGConnectingPoint num="29" id="1377" /> +<TGConnectingPoint num="30" id="1378" /> +<TGConnectingPoint num="31" id="1379" /> +<TGConnectingPoint num="32" id="1380" /> +<TGConnectingPoint num="33" id="1381" /> +<TGConnectingPoint num="34" id="1382" /> +<TGConnectingPoint num="35" id="1383" /> +<TGConnectingPoint num="36" id="1384" /> +<TGConnectingPoint num="37" id="1385" /> +<TGConnectingPoint num="38" id="1386" /> +<TGConnectingPoint num="39" id="1387" /> +<extraparam> +</extraparam> +</COMPONENT> + +<COMPONENT type="5106" id="1429" > <cdparam x="435" y="998" /> <sizeparam width="121" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="measureTemp" /> -<TGConnectingPoint num="0" id="1371" /> -<TGConnectingPoint num="1" id="1372" /> -<TGConnectingPoint num="2" id="1373" /> -<TGConnectingPoint num="3" id="1374" /> -<TGConnectingPoint num="4" id="1375" /> -<TGConnectingPoint num="5" id="1376" /> -<TGConnectingPoint num="6" id="1377" /> -<TGConnectingPoint num="7" id="1378" /> -<TGConnectingPoint num="8" id="1379" /> -<TGConnectingPoint num="9" id="1380" /> -<TGConnectingPoint num="10" id="1381" /> -<TGConnectingPoint num="11" id="1382" /> -<TGConnectingPoint num="12" id="1383" /> -<TGConnectingPoint num="13" id="1384" /> -<TGConnectingPoint num="14" id="1385" /> -<TGConnectingPoint num="15" id="1386" /> -<TGConnectingPoint num="16" id="1387" /> -<TGConnectingPoint num="17" id="1388" /> -<TGConnectingPoint num="18" id="1389" /> -<TGConnectingPoint num="19" id="1390" /> -<TGConnectingPoint num="20" id="1391" /> -<TGConnectingPoint num="21" id="1392" /> -<TGConnectingPoint num="22" id="1393" /> -<TGConnectingPoint num="23" id="1394" /> -<TGConnectingPoint num="24" id="1395" /> -<TGConnectingPoint num="25" id="1396" /> -<TGConnectingPoint num="26" id="1397" /> -<TGConnectingPoint num="27" id="1398" /> -<TGConnectingPoint num="28" id="1399" /> -<TGConnectingPoint num="29" id="1400" /> -<TGConnectingPoint num="30" id="1401" /> -<TGConnectingPoint num="31" id="1402" /> -<TGConnectingPoint num="32" id="1403" /> -<TGConnectingPoint num="33" id="1404" /> -<TGConnectingPoint num="34" id="1405" /> -<TGConnectingPoint num="35" id="1406" /> -<TGConnectingPoint num="36" id="1407" /> -<TGConnectingPoint num="37" id="1408" /> -<TGConnectingPoint num="38" id="1409" /> -<TGConnectingPoint num="39" id="1410" /> +<TGConnectingPoint num="0" id="1389" /> +<TGConnectingPoint num="1" id="1390" /> +<TGConnectingPoint num="2" id="1391" /> +<TGConnectingPoint num="3" id="1392" /> +<TGConnectingPoint num="4" id="1393" /> +<TGConnectingPoint num="5" id="1394" /> +<TGConnectingPoint num="6" id="1395" /> +<TGConnectingPoint num="7" id="1396" /> +<TGConnectingPoint num="8" id="1397" /> +<TGConnectingPoint num="9" id="1398" /> +<TGConnectingPoint num="10" id="1399" /> +<TGConnectingPoint num="11" id="1400" /> +<TGConnectingPoint num="12" id="1401" /> +<TGConnectingPoint num="13" id="1402" /> +<TGConnectingPoint num="14" id="1403" /> +<TGConnectingPoint num="15" id="1404" /> +<TGConnectingPoint num="16" id="1405" /> +<TGConnectingPoint num="17" id="1406" /> +<TGConnectingPoint num="18" id="1407" /> +<TGConnectingPoint num="19" id="1408" /> +<TGConnectingPoint num="20" id="1409" /> +<TGConnectingPoint num="21" id="1410" /> +<TGConnectingPoint num="22" id="1411" /> +<TGConnectingPoint num="23" id="1412" /> +<TGConnectingPoint num="24" id="1413" /> +<TGConnectingPoint num="25" id="1414" /> +<TGConnectingPoint num="26" id="1415" /> +<TGConnectingPoint num="27" id="1416" /> +<TGConnectingPoint num="28" id="1417" /> +<TGConnectingPoint num="29" id="1418" /> +<TGConnectingPoint num="30" id="1419" /> +<TGConnectingPoint num="31" id="1420" /> +<TGConnectingPoint num="32" id="1421" /> +<TGConnectingPoint num="33" id="1422" /> +<TGConnectingPoint num="34" id="1423" /> +<TGConnectingPoint num="35" id="1424" /> +<TGConnectingPoint num="36" id="1425" /> +<TGConnectingPoint num="37" id="1426" /> +<TGConnectingPoint num="38" id="1427" /> +<TGConnectingPoint num="39" id="1428" /> <extraparam> <entryCode value="temp = read_gpio2vci("temperature_sensor");" /> <entryCode value="printf("Temperature = %d\n", temp);" /> </extraparam> </COMPONENT> -<COMPONENT type="5106" id="1452" > +<COMPONENT type="5106" id="1470" > <cdparam x="475" y="428" /> <sizeparam width="100" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="state1" /> -<TGConnectingPoint num="0" id="1412" /> -<TGConnectingPoint num="1" id="1413" /> -<TGConnectingPoint num="2" id="1414" /> -<TGConnectingPoint num="3" id="1415" /> -<TGConnectingPoint num="4" id="1416" /> -<TGConnectingPoint num="5" id="1417" /> -<TGConnectingPoint num="6" id="1418" /> -<TGConnectingPoint num="7" id="1419" /> -<TGConnectingPoint num="8" id="1420" /> -<TGConnectingPoint num="9" id="1421" /> -<TGConnectingPoint num="10" id="1422" /> -<TGConnectingPoint num="11" id="1423" /> -<TGConnectingPoint num="12" id="1424" /> -<TGConnectingPoint num="13" id="1425" /> -<TGConnectingPoint num="14" id="1426" /> -<TGConnectingPoint num="15" id="1427" /> -<TGConnectingPoint num="16" id="1428" /> -<TGConnectingPoint num="17" id="1429" /> -<TGConnectingPoint num="18" id="1430" /> -<TGConnectingPoint num="19" id="1431" /> -<TGConnectingPoint num="20" id="1432" /> -<TGConnectingPoint num="21" id="1433" /> -<TGConnectingPoint num="22" id="1434" /> -<TGConnectingPoint num="23" id="1435" /> -<TGConnectingPoint num="24" id="1436" /> -<TGConnectingPoint num="25" id="1437" /> -<TGConnectingPoint num="26" id="1438" /> -<TGConnectingPoint num="27" id="1439" /> -<TGConnectingPoint num="28" id="1440" /> -<TGConnectingPoint num="29" id="1441" /> -<TGConnectingPoint num="30" id="1442" /> -<TGConnectingPoint num="31" id="1443" /> -<TGConnectingPoint num="32" id="1444" /> -<TGConnectingPoint num="33" id="1445" /> -<TGConnectingPoint num="34" id="1446" /> -<TGConnectingPoint num="35" id="1447" /> -<TGConnectingPoint num="36" id="1448" /> -<TGConnectingPoint num="37" id="1449" /> -<TGConnectingPoint num="38" id="1450" /> -<TGConnectingPoint num="39" id="1451" /> -<extraparam> -</extraparam> -</COMPONENT> - -<COMPONENT type="5106" id="1493" > +<TGConnectingPoint num="0" id="1430" /> +<TGConnectingPoint num="1" id="1431" /> +<TGConnectingPoint num="2" id="1432" /> +<TGConnectingPoint num="3" id="1433" /> +<TGConnectingPoint num="4" id="1434" /> +<TGConnectingPoint num="5" id="1435" /> +<TGConnectingPoint num="6" id="1436" /> +<TGConnectingPoint num="7" id="1437" /> +<TGConnectingPoint num="8" id="1438" /> +<TGConnectingPoint num="9" id="1439" /> +<TGConnectingPoint num="10" id="1440" /> +<TGConnectingPoint num="11" id="1441" /> +<TGConnectingPoint num="12" id="1442" /> +<TGConnectingPoint num="13" id="1443" /> +<TGConnectingPoint num="14" id="1444" /> +<TGConnectingPoint num="15" id="1445" /> +<TGConnectingPoint num="16" id="1446" /> +<TGConnectingPoint num="17" id="1447" /> +<TGConnectingPoint num="18" id="1448" /> +<TGConnectingPoint num="19" id="1449" /> +<TGConnectingPoint num="20" id="1450" /> +<TGConnectingPoint num="21" id="1451" /> +<TGConnectingPoint num="22" id="1452" /> +<TGConnectingPoint num="23" id="1453" /> +<TGConnectingPoint num="24" id="1454" /> +<TGConnectingPoint num="25" id="1455" /> +<TGConnectingPoint num="26" id="1456" /> +<TGConnectingPoint num="27" id="1457" /> +<TGConnectingPoint num="28" id="1458" /> +<TGConnectingPoint num="29" id="1459" /> +<TGConnectingPoint num="30" id="1460" /> +<TGConnectingPoint num="31" id="1461" /> +<TGConnectingPoint num="32" id="1462" /> +<TGConnectingPoint num="33" id="1463" /> +<TGConnectingPoint num="34" id="1464" /> +<TGConnectingPoint num="35" id="1465" /> +<TGConnectingPoint num="36" id="1466" /> +<TGConnectingPoint num="37" id="1467" /> +<TGConnectingPoint num="38" id="1468" /> +<TGConnectingPoint num="39" id="1469" /> +<extraparam> +</extraparam> +</COMPONENT> + +<COMPONENT type="5106" id="1511" > <cdparam x="346" y="463" /> <sizeparam width="100" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="state2" /> -<TGConnectingPoint num="0" id="1453" /> -<TGConnectingPoint num="1" id="1454" /> -<TGConnectingPoint num="2" id="1455" /> -<TGConnectingPoint num="3" id="1456" /> -<TGConnectingPoint num="4" id="1457" /> -<TGConnectingPoint num="5" id="1458" /> -<TGConnectingPoint num="6" id="1459" /> -<TGConnectingPoint num="7" id="1460" /> -<TGConnectingPoint num="8" id="1461" /> -<TGConnectingPoint num="9" id="1462" /> -<TGConnectingPoint num="10" id="1463" /> -<TGConnectingPoint num="11" id="1464" /> -<TGConnectingPoint num="12" id="1465" /> -<TGConnectingPoint num="13" id="1466" /> -<TGConnectingPoint num="14" id="1467" /> -<TGConnectingPoint num="15" id="1468" /> -<TGConnectingPoint num="16" id="1469" /> -<TGConnectingPoint num="17" id="1470" /> -<TGConnectingPoint num="18" id="1471" /> -<TGConnectingPoint num="19" id="1472" /> -<TGConnectingPoint num="20" id="1473" /> -<TGConnectingPoint num="21" id="1474" /> -<TGConnectingPoint num="22" id="1475" /> -<TGConnectingPoint num="23" id="1476" /> -<TGConnectingPoint num="24" id="1477" /> -<TGConnectingPoint num="25" id="1478" /> -<TGConnectingPoint num="26" id="1479" /> -<TGConnectingPoint num="27" id="1480" /> -<TGConnectingPoint num="28" id="1481" /> -<TGConnectingPoint num="29" id="1482" /> -<TGConnectingPoint num="30" id="1483" /> -<TGConnectingPoint num="31" id="1484" /> -<TGConnectingPoint num="32" id="1485" /> -<TGConnectingPoint num="33" id="1486" /> -<TGConnectingPoint num="34" id="1487" /> -<TGConnectingPoint num="35" id="1488" /> -<TGConnectingPoint num="36" id="1489" /> -<TGConnectingPoint num="37" id="1490" /> -<TGConnectingPoint num="38" id="1491" /> -<TGConnectingPoint num="39" id="1492" /> -<extraparam> -</extraparam> -</COMPONENT> - -<COMPONENT type="5106" id="1534" > +<TGConnectingPoint num="0" id="1471" /> +<TGConnectingPoint num="1" id="1472" /> +<TGConnectingPoint num="2" id="1473" /> +<TGConnectingPoint num="3" id="1474" /> +<TGConnectingPoint num="4" id="1475" /> +<TGConnectingPoint num="5" id="1476" /> +<TGConnectingPoint num="6" id="1477" /> +<TGConnectingPoint num="7" id="1478" /> +<TGConnectingPoint num="8" id="1479" /> +<TGConnectingPoint num="9" id="1480" /> +<TGConnectingPoint num="10" id="1481" /> +<TGConnectingPoint num="11" id="1482" /> +<TGConnectingPoint num="12" id="1483" /> +<TGConnectingPoint num="13" id="1484" /> +<TGConnectingPoint num="14" id="1485" /> +<TGConnectingPoint num="15" id="1486" /> +<TGConnectingPoint num="16" id="1487" /> +<TGConnectingPoint num="17" id="1488" /> +<TGConnectingPoint num="18" id="1489" /> +<TGConnectingPoint num="19" id="1490" /> +<TGConnectingPoint num="20" id="1491" /> +<TGConnectingPoint num="21" id="1492" /> +<TGConnectingPoint num="22" id="1493" /> +<TGConnectingPoint num="23" id="1494" /> +<TGConnectingPoint num="24" id="1495" /> +<TGConnectingPoint num="25" id="1496" /> +<TGConnectingPoint num="26" id="1497" /> +<TGConnectingPoint num="27" id="1498" /> +<TGConnectingPoint num="28" id="1499" /> +<TGConnectingPoint num="29" id="1500" /> +<TGConnectingPoint num="30" id="1501" /> +<TGConnectingPoint num="31" id="1502" /> +<TGConnectingPoint num="32" id="1503" /> +<TGConnectingPoint num="33" id="1504" /> +<TGConnectingPoint num="34" id="1505" /> +<TGConnectingPoint num="35" id="1506" /> +<TGConnectingPoint num="36" id="1507" /> +<TGConnectingPoint num="37" id="1508" /> +<TGConnectingPoint num="38" id="1509" /> +<TGConnectingPoint num="39" id="1510" /> +<extraparam> +</extraparam> +</COMPONENT> + +<COMPONENT type="5106" id="1552" > <cdparam x="213" y="432" /> <sizeparam width="100" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="state0" /> -<TGConnectingPoint num="0" id="1494" /> -<TGConnectingPoint num="1" id="1495" /> -<TGConnectingPoint num="2" id="1496" /> -<TGConnectingPoint num="3" id="1497" /> -<TGConnectingPoint num="4" id="1498" /> -<TGConnectingPoint num="5" id="1499" /> -<TGConnectingPoint num="6" id="1500" /> -<TGConnectingPoint num="7" id="1501" /> -<TGConnectingPoint num="8" id="1502" /> -<TGConnectingPoint num="9" id="1503" /> -<TGConnectingPoint num="10" id="1504" /> -<TGConnectingPoint num="11" id="1505" /> -<TGConnectingPoint num="12" id="1506" /> -<TGConnectingPoint num="13" id="1507" /> -<TGConnectingPoint num="14" id="1508" /> -<TGConnectingPoint num="15" id="1509" /> -<TGConnectingPoint num="16" id="1510" /> -<TGConnectingPoint num="17" id="1511" /> -<TGConnectingPoint num="18" id="1512" /> -<TGConnectingPoint num="19" id="1513" /> -<TGConnectingPoint num="20" id="1514" /> -<TGConnectingPoint num="21" id="1515" /> -<TGConnectingPoint num="22" id="1516" /> -<TGConnectingPoint num="23" id="1517" /> -<TGConnectingPoint num="24" id="1518" /> -<TGConnectingPoint num="25" id="1519" /> -<TGConnectingPoint num="26" id="1520" /> -<TGConnectingPoint num="27" id="1521" /> -<TGConnectingPoint num="28" id="1522" /> -<TGConnectingPoint num="29" id="1523" /> -<TGConnectingPoint num="30" id="1524" /> -<TGConnectingPoint num="31" id="1525" /> -<TGConnectingPoint num="32" id="1526" /> -<TGConnectingPoint num="33" id="1527" /> -<TGConnectingPoint num="34" id="1528" /> -<TGConnectingPoint num="35" id="1529" /> -<TGConnectingPoint num="36" id="1530" /> -<TGConnectingPoint num="37" id="1531" /> -<TGConnectingPoint num="38" id="1532" /> -<TGConnectingPoint num="39" id="1533" /> -<extraparam> -</extraparam> -</COMPONENT> - -<COMPONENT type="5107" id="1550" > +<TGConnectingPoint num="0" id="1512" /> +<TGConnectingPoint num="1" id="1513" /> +<TGConnectingPoint num="2" id="1514" /> +<TGConnectingPoint num="3" id="1515" /> +<TGConnectingPoint num="4" id="1516" /> +<TGConnectingPoint num="5" id="1517" /> +<TGConnectingPoint num="6" id="1518" /> +<TGConnectingPoint num="7" id="1519" /> +<TGConnectingPoint num="8" id="1520" /> +<TGConnectingPoint num="9" id="1521" /> +<TGConnectingPoint num="10" id="1522" /> +<TGConnectingPoint num="11" id="1523" /> +<TGConnectingPoint num="12" id="1524" /> +<TGConnectingPoint num="13" id="1525" /> +<TGConnectingPoint num="14" id="1526" /> +<TGConnectingPoint num="15" id="1527" /> +<TGConnectingPoint num="16" id="1528" /> +<TGConnectingPoint num="17" id="1529" /> +<TGConnectingPoint num="18" id="1530" /> +<TGConnectingPoint num="19" id="1531" /> +<TGConnectingPoint num="20" id="1532" /> +<TGConnectingPoint num="21" id="1533" /> +<TGConnectingPoint num="22" id="1534" /> +<TGConnectingPoint num="23" id="1535" /> +<TGConnectingPoint num="24" id="1536" /> +<TGConnectingPoint num="25" id="1537" /> +<TGConnectingPoint num="26" id="1538" /> +<TGConnectingPoint num="27" id="1539" /> +<TGConnectingPoint num="28" id="1540" /> +<TGConnectingPoint num="29" id="1541" /> +<TGConnectingPoint num="30" id="1542" /> +<TGConnectingPoint num="31" id="1543" /> +<TGConnectingPoint num="32" id="1544" /> +<TGConnectingPoint num="33" id="1545" /> +<TGConnectingPoint num="34" id="1546" /> +<TGConnectingPoint num="35" id="1547" /> +<TGConnectingPoint num="36" id="1548" /> +<TGConnectingPoint num="37" id="1549" /> +<TGConnectingPoint num="38" id="1550" /> +<TGConnectingPoint num="39" id="1551" /> +<extraparam> +</extraparam> +</COMPONENT> + +<COMPONENT type="5107" id="1568" > <cdparam x="381" y="361" /> <sizeparam width="30" height="30" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="choice" value="null" /> -<TGConnectingPoint num="0" id="1535" /> -<TGConnectingPoint num="1" id="1536" /> -<TGConnectingPoint num="2" id="1537" /> -<TGConnectingPoint num="3" id="1538" /> -<TGConnectingPoint num="4" id="1539" /> -<TGConnectingPoint num="5" id="1540" /> -<TGConnectingPoint num="6" id="1541" /> -<TGConnectingPoint num="7" id="1542" /> -<TGConnectingPoint num="8" id="1543" /> -<TGConnectingPoint num="9" id="1544" /> -<TGConnectingPoint num="10" id="1545" /> -<TGConnectingPoint num="11" id="1546" /> -<TGConnectingPoint num="12" id="1547" /> -<TGConnectingPoint num="13" id="1548" /> -<TGConnectingPoint num="14" id="1549" /> -</COMPONENT> - -<COMPONENT type="5106" id="1591" > +<TGConnectingPoint num="0" id="1553" /> +<TGConnectingPoint num="1" id="1554" /> +<TGConnectingPoint num="2" id="1555" /> +<TGConnectingPoint num="3" id="1556" /> +<TGConnectingPoint num="4" id="1557" /> +<TGConnectingPoint num="5" id="1558" /> +<TGConnectingPoint num="6" id="1559" /> +<TGConnectingPoint num="7" id="1560" /> +<TGConnectingPoint num="8" id="1561" /> +<TGConnectingPoint num="9" id="1562" /> +<TGConnectingPoint num="10" id="1563" /> +<TGConnectingPoint num="11" id="1564" /> +<TGConnectingPoint num="12" id="1565" /> +<TGConnectingPoint num="13" id="1566" /> +<TGConnectingPoint num="14" id="1567" /> +</COMPONENT> + +<COMPONENT type="5106" id="1609" > <cdparam x="315" y="92" /> <sizeparam width="165" height="50" minWidth="40" minHeight="30" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <enabled value="true" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="State" value="startController" /> -<TGConnectingPoint num="0" id="1551" /> -<TGConnectingPoint num="1" id="1552" /> -<TGConnectingPoint num="2" id="1553" /> -<TGConnectingPoint num="3" id="1554" /> -<TGConnectingPoint num="4" id="1555" /> -<TGConnectingPoint num="5" id="1556" /> -<TGConnectingPoint num="6" id="1557" /> -<TGConnectingPoint num="7" id="1558" /> -<TGConnectingPoint num="8" id="1559" /> -<TGConnectingPoint num="9" id="1560" /> -<TGConnectingPoint num="10" id="1561" /> -<TGConnectingPoint num="11" id="1562" /> -<TGConnectingPoint num="12" id="1563" /> -<TGConnectingPoint num="13" id="1564" /> -<TGConnectingPoint num="14" id="1565" /> -<TGConnectingPoint num="15" id="1566" /> -<TGConnectingPoint num="16" id="1567" /> -<TGConnectingPoint num="17" id="1568" /> -<TGConnectingPoint num="18" id="1569" /> -<TGConnectingPoint num="19" id="1570" /> -<TGConnectingPoint num="20" id="1571" /> -<TGConnectingPoint num="21" id="1572" /> -<TGConnectingPoint num="22" id="1573" /> -<TGConnectingPoint num="23" id="1574" /> -<TGConnectingPoint num="24" id="1575" /> -<TGConnectingPoint num="25" id="1576" /> -<TGConnectingPoint num="26" id="1577" /> -<TGConnectingPoint num="27" id="1578" /> -<TGConnectingPoint num="28" id="1579" /> -<TGConnectingPoint num="29" id="1580" /> -<TGConnectingPoint num="30" id="1581" /> -<TGConnectingPoint num="31" id="1582" /> -<TGConnectingPoint num="32" id="1583" /> -<TGConnectingPoint num="33" id="1584" /> -<TGConnectingPoint num="34" id="1585" /> -<TGConnectingPoint num="35" id="1586" /> -<TGConnectingPoint num="36" id="1587" /> -<TGConnectingPoint num="37" id="1588" /> -<TGConnectingPoint num="38" id="1589" /> -<TGConnectingPoint num="39" id="1590" /> +<TGConnectingPoint num="0" id="1569" /> +<TGConnectingPoint num="1" id="1570" /> +<TGConnectingPoint num="2" id="1571" /> +<TGConnectingPoint num="3" id="1572" /> +<TGConnectingPoint num="4" id="1573" /> +<TGConnectingPoint num="5" id="1574" /> +<TGConnectingPoint num="6" id="1575" /> +<TGConnectingPoint num="7" id="1576" /> +<TGConnectingPoint num="8" id="1577" /> +<TGConnectingPoint num="9" id="1578" /> +<TGConnectingPoint num="10" id="1579" /> +<TGConnectingPoint num="11" id="1580" /> +<TGConnectingPoint num="12" id="1581" /> +<TGConnectingPoint num="13" id="1582" /> +<TGConnectingPoint num="14" id="1583" /> +<TGConnectingPoint num="15" id="1584" /> +<TGConnectingPoint num="16" id="1585" /> +<TGConnectingPoint num="17" id="1586" /> +<TGConnectingPoint num="18" id="1587" /> +<TGConnectingPoint num="19" id="1588" /> +<TGConnectingPoint num="20" id="1589" /> +<TGConnectingPoint num="21" id="1590" /> +<TGConnectingPoint num="22" id="1591" /> +<TGConnectingPoint num="23" id="1592" /> +<TGConnectingPoint num="24" id="1593" /> +<TGConnectingPoint num="25" id="1594" /> +<TGConnectingPoint num="26" id="1595" /> +<TGConnectingPoint num="27" id="1596" /> +<TGConnectingPoint num="28" id="1597" /> +<TGConnectingPoint num="29" id="1598" /> +<TGConnectingPoint num="30" id="1599" /> +<TGConnectingPoint num="31" id="1600" /> +<TGConnectingPoint num="32" id="1601" /> +<TGConnectingPoint num="33" id="1602" /> +<TGConnectingPoint num="34" id="1603" /> +<TGConnectingPoint num="35" id="1604" /> +<TGConnectingPoint num="36" id="1605" /> +<TGConnectingPoint num="37" id="1606" /> +<TGConnectingPoint num="38" id="1607" /> +<TGConnectingPoint num="39" id="1608" /> <extraparam> <entryCode value="write_gpio2vci(sensorOn, "temperature_sensor");" /> </extraparam> </COMPONENT> -<COMPONENT type="5100" id="1593" > +<COMPONENT type="5100" id="1611" > <cdparam x="390" y="35" /> <sizeparam width="15" height="15" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="2500" /> <infoparam name="start state" value="null" /> -<TGConnectingPoint num="0" id="1592" /> +<TGConnectingPoint num="0" id="1610" /> </COMPONENT> @@ -4693,211 +4693,247 @@ state 2: obstacles in close proximity <Modeling type="ADD" nameTab="Deployment" > <ADDDiagramPanel name="Deployment Diagram" minX="10" maxX="2500" minY="10" maxY="1500" attributes="0" masterClockFrequency="200" > -<COMPONENT type="5365" id="1618" > +<COMPONENT type="5365" id="1636" > <cdparam x="788" y="27" /> -<sizeparam width="250" height="200" minWidth="150" minHeight="100" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<sizeparam width="250" height="100" minWidth="150" minHeight="100" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="temperature_sensor" value="temperature_sensor" /> -<TGConnectingPoint num="0" id="1594" /> -<TGConnectingPoint num="1" id="1595" /> -<TGConnectingPoint num="2" id="1596" /> -<TGConnectingPoint num="3" id="1597" /> -<TGConnectingPoint num="4" id="1598" /> -<TGConnectingPoint num="5" id="1599" /> -<TGConnectingPoint num="6" id="1600" /> -<TGConnectingPoint num="7" id="1601" /> -<TGConnectingPoint num="8" id="1602" /> -<TGConnectingPoint num="9" id="1603" /> -<TGConnectingPoint num="10" id="1604" /> -<TGConnectingPoint num="11" id="1605" /> -<TGConnectingPoint num="12" id="1606" /> -<TGConnectingPoint num="13" id="1607" /> -<TGConnectingPoint num="14" id="1608" /> -<TGConnectingPoint num="15" id="1609" /> -<TGConnectingPoint num="16" id="1610" /> -<TGConnectingPoint num="17" id="1611" /> -<TGConnectingPoint num="18" id="1612" /> -<TGConnectingPoint num="19" id="1613" /> -<TGConnectingPoint num="20" id="1614" /> -<TGConnectingPoint num="21" id="1615" /> -<TGConnectingPoint num="22" id="1616" /> -<TGConnectingPoint num="23" id="1617" /> +<TGConnectingPoint num="0" id="1612" /> +<TGConnectingPoint num="1" id="1613" /> +<TGConnectingPoint num="2" id="1614" /> +<TGConnectingPoint num="3" id="1615" /> +<TGConnectingPoint num="4" id="1616" /> +<TGConnectingPoint num="5" id="1617" /> +<TGConnectingPoint num="6" id="1618" /> +<TGConnectingPoint num="7" id="1619" /> +<TGConnectingPoint num="8" id="1620" /> +<TGConnectingPoint num="9" id="1621" /> +<TGConnectingPoint num="10" id="1622" /> +<TGConnectingPoint num="11" id="1623" /> +<TGConnectingPoint num="12" id="1624" /> +<TGConnectingPoint num="13" id="1625" /> +<TGConnectingPoint num="14" id="1626" /> +<TGConnectingPoint num="15" id="1627" /> +<TGConnectingPoint num="16" id="1628" /> +<TGConnectingPoint num="17" id="1629" /> +<TGConnectingPoint num="18" id="1630" /> +<TGConnectingPoint num="19" id="1631" /> +<TGConnectingPoint num="20" id="1632" /> +<TGConnectingPoint num="21" id="1633" /> +<TGConnectingPoint num="22" id="1634" /> +<TGConnectingPoint num="23" id="1635" /> <extraparam> <info stereotype="SystemC-AMS Cluster" nodeName="temperature_sensor" /> <attributes index="0" /> </extraparam> </COMPONENT> -<COMPONENT type="5365" id="1643" > -<cdparam x="795" y="259" /> -<sizeparam width="250" height="200" minWidth="150" minHeight="100" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<COMPONENT type="5365" id="1661" > +<cdparam x="790" y="197" /> +<sizeparam width="250" height="105" minWidth="150" minHeight="100" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="distance_sensor" value="distance_sensor" /> -<TGConnectingPoint num="0" id="1619" /> -<TGConnectingPoint num="1" id="1620" /> -<TGConnectingPoint num="2" id="1621" /> -<TGConnectingPoint num="3" id="1622" /> -<TGConnectingPoint num="4" id="1623" /> -<TGConnectingPoint num="5" id="1624" /> -<TGConnectingPoint num="6" id="1625" /> -<TGConnectingPoint num="7" id="1626" /> -<TGConnectingPoint num="8" id="1627" /> -<TGConnectingPoint num="9" id="1628" /> -<TGConnectingPoint num="10" id="1629" /> -<TGConnectingPoint num="11" id="1630" /> -<TGConnectingPoint num="12" id="1631" /> -<TGConnectingPoint num="13" id="1632" /> -<TGConnectingPoint num="14" id="1633" /> -<TGConnectingPoint num="15" id="1634" /> -<TGConnectingPoint num="16" id="1635" /> -<TGConnectingPoint num="17" id="1636" /> -<TGConnectingPoint num="18" id="1637" /> -<TGConnectingPoint num="19" id="1638" /> -<TGConnectingPoint num="20" id="1639" /> -<TGConnectingPoint num="21" id="1640" /> -<TGConnectingPoint num="22" id="1641" /> -<TGConnectingPoint num="23" id="1642" /> +<TGConnectingPoint num="0" id="1637" /> +<TGConnectingPoint num="1" id="1638" /> +<TGConnectingPoint num="2" id="1639" /> +<TGConnectingPoint num="3" id="1640" /> +<TGConnectingPoint num="4" id="1641" /> +<TGConnectingPoint num="5" id="1642" /> +<TGConnectingPoint num="6" id="1643" /> +<TGConnectingPoint num="7" id="1644" /> +<TGConnectingPoint num="8" id="1645" /> +<TGConnectingPoint num="9" id="1646" /> +<TGConnectingPoint num="10" id="1647" /> +<TGConnectingPoint num="11" id="1648" /> +<TGConnectingPoint num="12" id="1649" /> +<TGConnectingPoint num="13" id="1650" /> +<TGConnectingPoint num="14" id="1651" /> +<TGConnectingPoint num="15" id="1652" /> +<TGConnectingPoint num="16" id="1653" /> +<TGConnectingPoint num="17" id="1654" /> +<TGConnectingPoint num="18" id="1655" /> +<TGConnectingPoint num="19" id="1656" /> +<TGConnectingPoint num="20" id="1657" /> +<TGConnectingPoint num="21" id="1658" /> +<TGConnectingPoint num="22" id="1659" /> +<TGConnectingPoint num="23" id="1660" /> <extraparam> <info stereotype="SystemC-AMS Cluster" nodeName="distance_sensor" /> <attributes index="0" /> </extraparam> </COMPONENT> -<COMPONENT type="5363" id="1668" > -<cdparam x="441" y="323" /> +<COMPONENT type="5363" id="1686" > +<cdparam x="439" y="258" /> <sizeparam width="250" height="50" minWidth="100" minHeight="50" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="Bus0" value="name" /> -<TGConnectingPoint num="0" id="1644" /> -<TGConnectingPoint num="1" id="1645" /> -<TGConnectingPoint num="2" id="1646" /> -<TGConnectingPoint num="3" id="1647" /> -<TGConnectingPoint num="4" id="1648" /> -<TGConnectingPoint num="5" id="1649" /> -<TGConnectingPoint num="6" id="1650" /> -<TGConnectingPoint num="7" id="1651" /> -<TGConnectingPoint num="8" id="1652" /> -<TGConnectingPoint num="9" id="1653" /> -<TGConnectingPoint num="10" id="1654" /> -<TGConnectingPoint num="11" id="1655" /> -<TGConnectingPoint num="12" id="1656" /> -<TGConnectingPoint num="13" id="1657" /> -<TGConnectingPoint num="14" id="1658" /> -<TGConnectingPoint num="15" id="1659" /> -<TGConnectingPoint num="16" id="1660" /> -<TGConnectingPoint num="17" id="1661" /> -<TGConnectingPoint num="18" id="1662" /> -<TGConnectingPoint num="19" id="1663" /> -<TGConnectingPoint num="20" id="1664" /> -<TGConnectingPoint num="21" id="1665" /> -<TGConnectingPoint num="22" id="1666" /> -<TGConnectingPoint num="23" id="1667" /> +<TGConnectingPoint num="0" id="1662" /> +<TGConnectingPoint num="1" id="1663" /> +<TGConnectingPoint num="2" id="1664" /> +<TGConnectingPoint num="3" id="1665" /> +<TGConnectingPoint num="4" id="1666" /> +<TGConnectingPoint num="5" id="1667" /> +<TGConnectingPoint num="6" id="1668" /> +<TGConnectingPoint num="7" id="1669" /> +<TGConnectingPoint num="8" id="1670" /> +<TGConnectingPoint num="9" id="1671" /> +<TGConnectingPoint num="10" id="1672" /> +<TGConnectingPoint num="11" id="1673" /> +<TGConnectingPoint num="12" id="1674" /> +<TGConnectingPoint num="13" id="1675" /> +<TGConnectingPoint num="14" id="1676" /> +<TGConnectingPoint num="15" id="1677" /> +<TGConnectingPoint num="16" id="1678" /> +<TGConnectingPoint num="17" id="1679" /> +<TGConnectingPoint num="18" id="1680" /> +<TGConnectingPoint num="19" id="1681" /> +<TGConnectingPoint num="20" id="1682" /> +<TGConnectingPoint num="21" id="1683" /> +<TGConnectingPoint num="22" id="1684" /> +<TGConnectingPoint num="23" id="1685" /> <extraparam> <info stereotype="VGMN" nodeName="Bus0" /> <attributes index="0" nbOfAttachedInitiators="0" nbOfAttachedTargets="0" minLatency="0" fifoDepth="0" /> </extraparam> </COMPONENT> -<COMPONENT type="5355" id="1702" > +<COMPONENT type="5355" id="1720" > <cdparam x="427" y="28" /> <sizeparam width="279" height="200" minWidth="100" minHeight="35" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="Memory0" value="name" /> -<TGConnectingPoint num="0" id="1678" /> -<TGConnectingPoint num="1" id="1679" /> -<TGConnectingPoint num="2" id="1680" /> -<TGConnectingPoint num="3" id="1681" /> -<TGConnectingPoint num="4" id="1682" /> -<TGConnectingPoint num="5" id="1683" /> -<TGConnectingPoint num="6" id="1684" /> -<TGConnectingPoint num="7" id="1685" /> -<TGConnectingPoint num="8" id="1686" /> -<TGConnectingPoint num="9" id="1687" /> -<TGConnectingPoint num="10" id="1688" /> -<TGConnectingPoint num="11" id="1689" /> -<TGConnectingPoint num="12" id="1690" /> -<TGConnectingPoint num="13" id="1691" /> -<TGConnectingPoint num="14" id="1692" /> -<TGConnectingPoint num="15" id="1693" /> -<TGConnectingPoint num="16" id="1694" /> -<TGConnectingPoint num="17" id="1695" /> -<TGConnectingPoint num="18" id="1696" /> -<TGConnectingPoint num="19" id="1697" /> -<TGConnectingPoint num="20" id="1698" /> -<TGConnectingPoint num="21" id="1699" /> -<TGConnectingPoint num="22" id="1700" /> -<TGConnectingPoint num="23" id="1701" /> +<TGConnectingPoint num="0" id="1696" /> +<TGConnectingPoint num="1" id="1697" /> +<TGConnectingPoint num="2" id="1698" /> +<TGConnectingPoint num="3" id="1699" /> +<TGConnectingPoint num="4" id="1700" /> +<TGConnectingPoint num="5" id="1701" /> +<TGConnectingPoint num="6" id="1702" /> +<TGConnectingPoint num="7" id="1703" /> +<TGConnectingPoint num="8" id="1704" /> +<TGConnectingPoint num="9" id="1705" /> +<TGConnectingPoint num="10" id="1706" /> +<TGConnectingPoint num="11" id="1707" /> +<TGConnectingPoint num="12" id="1708" /> +<TGConnectingPoint num="13" id="1709" /> +<TGConnectingPoint num="14" id="1710" /> +<TGConnectingPoint num="15" id="1711" /> +<TGConnectingPoint num="16" id="1712" /> +<TGConnectingPoint num="17" id="1713" /> +<TGConnectingPoint num="18" id="1714" /> +<TGConnectingPoint num="19" id="1715" /> +<TGConnectingPoint num="20" id="1716" /> +<TGConnectingPoint num="21" id="1717" /> +<TGConnectingPoint num="22" id="1718" /> +<TGConnectingPoint num="23" id="1719" /> <extraparam> <info stereotype="RAM" nodeName="Memory0" /> <attributes byteDataSize="1048576" index="0" processCode="" /> </extraparam> </COMPONENT> -<SUBCOMPONENT type="5362" id="1677" > -<father id="1702" num="0" /> +<SUBCOMPONENT type="5362" id="1695" > +<father id="1720" num="0" /> <cdparam x="448" y="116" /> <sizeparam width="224" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="0" maxX="55" minY="0" maxY="160" /> <infoparam name="TGComponent" value="MainControl/out motorCommand" /> -<TGConnectingPoint num="0" id="1669" /> -<TGConnectingPoint num="1" id="1670" /> -<TGConnectingPoint num="2" id="1671" /> -<TGConnectingPoint num="3" id="1672" /> -<TGConnectingPoint num="4" id="1673" /> -<TGConnectingPoint num="5" id="1674" /> -<TGConnectingPoint num="6" id="1675" /> -<TGConnectingPoint num="7" id="1676" /> +<TGConnectingPoint num="0" id="1687" /> +<TGConnectingPoint num="1" id="1688" /> +<TGConnectingPoint num="2" id="1689" /> +<TGConnectingPoint num="3" id="1690" /> +<TGConnectingPoint num="4" id="1691" /> +<TGConnectingPoint num="5" id="1692" /> +<TGConnectingPoint num="6" id="1693" /> +<TGConnectingPoint num="7" id="1694" /> <extraparam> <info value="MainControl/out motorCommand" channelName="MainControl/out motorCommand(int leftVelocity, int rightVelocity) #--# MotorControl/in motorCommand(int leftCommand, int rightCommand)" fullChannelName="Design::MainControl/out motorCommand(int leftVelocity, int rightVelocity) #--# MotorControl/in motorCommand(int leftCommand, int rightCommand)" referenceDiagram="Design" /> </extraparam> </SUBCOMPONENT> -<COMPONENT type="5354" id="1727" > -<cdparam x="81" y="342" /> +<COMPONENT type="5354" id="1745" > +<cdparam x="113" y="203" /> <sizeparam width="250" height="100" minWidth="150" minHeight="100" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="TTY0" value="name" /> -<TGConnectingPoint num="0" id="1703" /> -<TGConnectingPoint num="1" id="1704" /> -<TGConnectingPoint num="2" id="1705" /> -<TGConnectingPoint num="3" id="1706" /> -<TGConnectingPoint num="4" id="1707" /> -<TGConnectingPoint num="5" id="1708" /> -<TGConnectingPoint num="6" id="1709" /> -<TGConnectingPoint num="7" id="1710" /> -<TGConnectingPoint num="8" id="1711" /> -<TGConnectingPoint num="9" id="1712" /> -<TGConnectingPoint num="10" id="1713" /> -<TGConnectingPoint num="11" id="1714" /> -<TGConnectingPoint num="12" id="1715" /> -<TGConnectingPoint num="13" id="1716" /> -<TGConnectingPoint num="14" id="1717" /> -<TGConnectingPoint num="15" id="1718" /> -<TGConnectingPoint num="16" id="1719" /> -<TGConnectingPoint num="17" id="1720" /> -<TGConnectingPoint num="18" id="1721" /> -<TGConnectingPoint num="19" id="1722" /> -<TGConnectingPoint num="20" id="1723" /> -<TGConnectingPoint num="21" id="1724" /> -<TGConnectingPoint num="22" id="1725" /> -<TGConnectingPoint num="23" id="1726" /> +<TGConnectingPoint num="0" id="1721" /> +<TGConnectingPoint num="1" id="1722" /> +<TGConnectingPoint num="2" id="1723" /> +<TGConnectingPoint num="3" id="1724" /> +<TGConnectingPoint num="4" id="1725" /> +<TGConnectingPoint num="5" id="1726" /> +<TGConnectingPoint num="6" id="1727" /> +<TGConnectingPoint num="7" id="1728" /> +<TGConnectingPoint num="8" id="1729" /> +<TGConnectingPoint num="9" id="1730" /> +<TGConnectingPoint num="10" id="1731" /> +<TGConnectingPoint num="11" id="1732" /> +<TGConnectingPoint num="12" id="1733" /> +<TGConnectingPoint num="13" id="1734" /> +<TGConnectingPoint num="14" id="1735" /> +<TGConnectingPoint num="15" id="1736" /> +<TGConnectingPoint num="16" id="1737" /> +<TGConnectingPoint num="17" id="1738" /> +<TGConnectingPoint num="18" id="1739" /> +<TGConnectingPoint num="19" id="1740" /> +<TGConnectingPoint num="20" id="1741" /> +<TGConnectingPoint num="21" id="1742" /> +<TGConnectingPoint num="22" id="1743" /> +<TGConnectingPoint num="23" id="1744" /> <extraparam> <info stereotype="TTY" nodeName="TTY0" /> <attributes index="0" /> </extraparam> </COMPONENT> -<COMPONENT type="5351" id="1770" > -<cdparam x="80" y="80" /> -<sizeparam width="250" height="213" minWidth="150" minHeight="100" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<COMPONENT type="5351" id="1788" > +<cdparam x="110" y="30" /> +<sizeparam width="250" height="140" minWidth="150" minHeight="100" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="CPU0" value="name" /> +<TGConnectingPoint num="0" id="1764" /> +<TGConnectingPoint num="1" id="1765" /> +<TGConnectingPoint num="2" id="1766" /> +<TGConnectingPoint num="3" id="1767" /> +<TGConnectingPoint num="4" id="1768" /> +<TGConnectingPoint num="5" id="1769" /> +<TGConnectingPoint num="6" id="1770" /> +<TGConnectingPoint num="7" id="1771" /> +<TGConnectingPoint num="8" id="1772" /> +<TGConnectingPoint num="9" id="1773" /> +<TGConnectingPoint num="10" id="1774" /> +<TGConnectingPoint num="11" id="1775" /> +<TGConnectingPoint num="12" id="1776" /> +<TGConnectingPoint num="13" id="1777" /> +<TGConnectingPoint num="14" id="1778" /> +<TGConnectingPoint num="15" id="1779" /> +<TGConnectingPoint num="16" id="1780" /> +<TGConnectingPoint num="17" id="1781" /> +<TGConnectingPoint num="18" id="1782" /> +<TGConnectingPoint num="19" id="1783" /> +<TGConnectingPoint num="20" id="1784" /> +<TGConnectingPoint num="21" id="1785" /> +<TGConnectingPoint num="22" id="1786" /> +<TGConnectingPoint num="23" id="1787" /> +<extraparam> +<info stereotype="CPU" nodeName="CPU0" /> +<attributes nbOfIrq="6" iCacheWays="8" iCacheSets="4" iCacheWords="4" dCacheWays="8" dCacheSets="4" dCacheWords="4" /> +</extraparam> +</COMPONENT> +<SUBCOMPONENT type="5352" id="1754" > +<father id="1788" num="0" /> +<cdparam x="128" y="73" /> +<sizeparam width="156" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<hidden value="false" /> +<cdrectangleparam minX="0" maxX="94" minY="0" maxY="100" /> +<infoparam name="TGComponent" value="Design::MotorControl" /> <TGConnectingPoint num="0" id="1746" /> <TGConnectingPoint num="1" id="1747" /> <TGConnectingPoint num="2" id="1748" /> @@ -4906,119 +4942,83 @@ state 2: obstacles in close proximity <TGConnectingPoint num="5" id="1751" /> <TGConnectingPoint num="6" id="1752" /> <TGConnectingPoint num="7" id="1753" /> -<TGConnectingPoint num="8" id="1754" /> -<TGConnectingPoint num="9" id="1755" /> -<TGConnectingPoint num="10" id="1756" /> -<TGConnectingPoint num="11" id="1757" /> -<TGConnectingPoint num="12" id="1758" /> -<TGConnectingPoint num="13" id="1759" /> -<TGConnectingPoint num="14" id="1760" /> -<TGConnectingPoint num="15" id="1761" /> -<TGConnectingPoint num="16" id="1762" /> -<TGConnectingPoint num="17" id="1763" /> -<TGConnectingPoint num="18" id="1764" /> -<TGConnectingPoint num="19" id="1765" /> -<TGConnectingPoint num="20" id="1766" /> -<TGConnectingPoint num="21" id="1767" /> -<TGConnectingPoint num="22" id="1768" /> -<TGConnectingPoint num="23" id="1769" /> <extraparam> -<info stereotype="CPU" nodeName="CPU0" /> -<attributes nbOfIrq="6" iCacheWays="8" iCacheSets="4" iCacheWords="4" dCacheWays="8" dCacheSets="4" dCacheWords="4" /> +<info value="Design::MotorControl" taskName="MotorControl" referenceTaskName="Design" /> </extraparam> -</COMPONENT> -<SUBCOMPONENT type="5352" id="1736" > -<father id="1770" num="0" /> -<cdparam x="103" y="188" /> +</SUBCOMPONENT> +<SUBCOMPONENT type="5352" id="1763" > +<father id="1788" num="1" /> +<cdparam x="129" y="122" /> <sizeparam width="150" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="100" minY="0" maxY="173" /> +<cdrectangleparam minX="0" maxX="100" minY="0" maxY="100" /> <infoparam name="TGComponent" value="Design::MainControl" /> -<TGConnectingPoint num="0" id="1728" /> -<TGConnectingPoint num="1" id="1729" /> -<TGConnectingPoint num="2" id="1730" /> -<TGConnectingPoint num="3" id="1731" /> -<TGConnectingPoint num="4" id="1732" /> -<TGConnectingPoint num="5" id="1733" /> -<TGConnectingPoint num="6" id="1734" /> -<TGConnectingPoint num="7" id="1735" /> +<TGConnectingPoint num="0" id="1755" /> +<TGConnectingPoint num="1" id="1756" /> +<TGConnectingPoint num="2" id="1757" /> +<TGConnectingPoint num="3" id="1758" /> +<TGConnectingPoint num="4" id="1759" /> +<TGConnectingPoint num="5" id="1760" /> +<TGConnectingPoint num="6" id="1761" /> +<TGConnectingPoint num="7" id="1762" /> <extraparam> <info value="Design::MainControl" taskName="MainControl" referenceTaskName="Design" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="5352" id="1745" > -<father id="1770" num="1" /> -<cdparam x="101" y="114" /> -<sizeparam width="156" height="40" minWidth="75" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> -<hidden value="false" /> -<cdrectangleparam minX="0" maxX="94" minY="0" maxY="173" /> -<infoparam name="TGComponent" value="Design::MotorControl" /> -<TGConnectingPoint num="0" id="1737" /> -<TGConnectingPoint num="1" id="1738" /> -<TGConnectingPoint num="2" id="1739" /> -<TGConnectingPoint num="3" id="1740" /> -<TGConnectingPoint num="4" id="1741" /> -<TGConnectingPoint num="5" id="1742" /> -<TGConnectingPoint num="6" id="1743" /> -<TGConnectingPoint num="7" id="1744" /> -<extraparam> -<info value="Design::MotorControl" taskName="MotorControl" referenceTaskName="Design" /> -</extraparam> -</SUBCOMPONENT> -<CONNECTOR type="5350" id="1771" > -<cdparam x="589" y="223" /> +<CONNECTOR type="5350" id="1789" > +<cdparam x="790" y="275" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="{info}" /> -<P1 x="788" y="227" id="1599" /> -<P2 x="691" y="335" id="1655" /> +<P1 x="790" y="275" id="1649" /> +<P2 x="689" y="295" id="1675" /> <AutomaticDrawing data="true" /> <extraparam> -<spy value="false" /> +<spy value="true" /> </extraparam> </CONNECTOR> -<CONNECTOR type="5350" id="1772" > -<cdparam x="413" y="550" /> +<CONNECTOR type="5350" id="1790" > +<cdparam x="589" y="223" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="{info}" /> -<P1 x="795" y="409" id="1631" /> -<P2 x="691" y="373" id="1651" /> +<P1 x="788" y="127" id="1617" /> +<P2 x="689" y="270" id="1673" /> <AutomaticDrawing data="true" /> <extraparam> <spy value="false" /> </extraparam> </CONNECTOR> -<CONNECTOR type="5350" id="1773" > +<CONNECTOR type="5350" id="1791" > <cdparam x="798" y="330" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="{info}" /> -<P1 x="566" y="228" id="1684" /> -<P2 x="566" y="323" id="1645" /> +<P1 x="566" y="228" id="1702" /> +<P2 x="564" y="258" id="1663" /> <AutomaticDrawing data="true" /> <extraparam> -<spy value="false" /> +<spy value="true" /> </extraparam> </CONNECTOR> -<CONNECTOR type="5350" id="1774" > +<CONNECTOR type="5350" id="1792" > <cdparam x="330" y="413" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="{info}" /> -<P1 x="331" y="392" id="1707" /> -<P2 x="441" y="360" id="1656" /> +<P1 x="363" y="253" id="1725" /> +<P2 x="439" y="295" id="1674" /> <AutomaticDrawing data="true" /> <extraparam> <spy value="false" /> </extraparam> </CONNECTOR> -<CONNECTOR type="5350" id="1775" > +<CONNECTOR type="5350" id="1793" > <cdparam x="330" y="234" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="{info}" /> -<P1 x="330" y="186" id="1750" /> -<P2 x="441" y="335" id="1654" /> +<P1 x="360" y="100" id="1768" /> +<P2 x="439" y="270" id="1672" /> <AutomaticDrawing data="true" /> <extraparam> -<spy value="false" /> +<spy value="true" /> </extraparam> </CONNECTOR> @@ -5031,231 +5031,197 @@ state 2: obstacles in close proximity <Modeling type="SystemC-AMS" nameTab="SystemC_AMS" > <SysCAMSComponentTaskDiagramPanel name="distance_sensor" minX="10" maxX="2500" minY="10" maxY="1500" TDF="true" DE="true" zoom="1.0" > -<CONNECTOR type="1601" id="1776" > +<CONNECTOR type="1601" id="1794" > <cdparam x="793" y="410" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="793" y="410" id="1907" /> -<P2 x="938" y="409" id="1791" /> +<P1 x="793" y="410" id="1828" /> +<P2 x="938" y="409" id="1799" /> <AutomaticDrawing data="true" /> </CONNECTOR> -<CONNECTOR type="1601" id="1777" > +<CONNECTOR type="1601" id="1795" > <cdparam x="938" y="335" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="938" y="351" id="1781" /> -<P2 x="793" y="351" id="1897" /> +<P1 x="938" y="351" id="1809" /> +<P2 x="793" y="351" id="1838" /> <AutomaticDrawing data="true" /> </CONNECTOR> -<CONNECTOR type="1601" id="1778" > +<CONNECTOR type="1601" id="1796" > <cdparam x="400" y="577" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="400" y="577" id="1810" /> -<P2 x="573" y="441" id="1887" /> +<P1 x="400" y="577" id="1925" /> +<P2 x="573" y="441" id="1848" /> <AutomaticDrawing data="true" /> </CONNECTOR> -<CONNECTOR type="1601" id="1779" > +<CONNECTOR type="1601" id="1797" > <cdparam x="395" y="386" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="395" y="386" id="1848" /> -<P2 x="573" y="386" id="1877" /> +<P1 x="395" y="386" id="1887" /> +<P2 x="573" y="386" id="1858" /> <AutomaticDrawing data="true" /> </CONNECTOR> -<CONNECTOR type="1601" id="1780" > +<CONNECTOR type="1601" id="1798" > <cdparam x="390" y="202" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="390" y="202" id="1829" /> -<P2 x="573" y="338" id="1867" /> +<P1 x="390" y="202" id="1906" /> +<P2 x="573" y="338" id="1868" /> <AutomaticDrawing data="true" /> </CONNECTOR> -<COMPONENT type="1608" id="1809" > +<COMPONENT type="1608" id="1827" > <cdparam x="948" y="297" /> <sizeparam width="200" height="150" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="Primitive component - Block GPIO2VCI" value="blockGPIO2VCI" /> -<TGConnectingPoint num="0" id="1801" /> -<TGConnectingPoint num="1" id="1802" /> -<TGConnectingPoint num="2" id="1803" /> -<TGConnectingPoint num="3" id="1804" /> -<TGConnectingPoint num="4" id="1805" /> -<TGConnectingPoint num="5" id="1806" /> -<TGConnectingPoint num="6" id="1807" /> -<TGConnectingPoint num="7" id="1808" /> -</COMPONENT> -<SUBCOMPONENT type="1605" id="1790" > -<father id="1809" num="0" /> -<cdparam x="938" y="341" /> +<TGConnectingPoint num="0" id="1819" /> +<TGConnectingPoint num="1" id="1820" /> +<TGConnectingPoint num="2" id="1821" /> +<TGConnectingPoint num="3" id="1822" /> +<TGConnectingPoint num="4" id="1823" /> +<TGConnectingPoint num="5" id="1824" /> +<TGConnectingPoint num="6" id="1825" /> +<TGConnectingPoint num="7" id="1826" /> +</COMPONENT> +<SUBCOMPONENT type="1605" id="1808" > +<father id="1827" num="0" /> +<cdparam x="938" y="399" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="outSoclib" /> -<TGConnectingPoint num="0" id="1781" /> -<TGConnectingPoint num="1" id="1782" /> -<TGConnectingPoint num="2" id="1783" /> -<TGConnectingPoint num="3" id="1784" /> -<TGConnectingPoint num="4" id="1785" /> -<TGConnectingPoint num="5" id="1786" /> -<TGConnectingPoint num="6" id="1787" /> -<TGConnectingPoint num="7" id="1788" /> -<TGConnectingPoint num="8" id="1789" /> +<infoparam name="Primitive port - Port DE" value="inSoclib" /> +<TGConnectingPoint num="0" id="1799" /> +<TGConnectingPoint num="1" id="1800" /> +<TGConnectingPoint num="2" id="1801" /> +<TGConnectingPoint num="3" id="1802" /> +<TGConnectingPoint num="4" id="1803" /> +<TGConnectingPoint num="5" id="1804" /> +<TGConnectingPoint num="6" id="1805" /> +<TGConnectingPoint num="7" id="1806" /> +<TGConnectingPoint num="8" id="1807" /> <extraparam> -<Prop commName="outSoclib" commType="0" origin="1" type="int" sensitive="false" sensitive_method="positive" nbits="0" /> +<Prop commName="inSoclib" commType="0" origin="0" type="int" sensitive="false" sensitive_method="positive" nbits="0" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1605" id="1800" > -<father id="1809" num="1" /> -<cdparam x="938" y="399" /> +<SUBCOMPONENT type="1605" id="1818" > +<father id="1827" num="1" /> +<cdparam x="938" y="341" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="inSoclib" /> -<TGConnectingPoint num="0" id="1791" /> -<TGConnectingPoint num="1" id="1792" /> -<TGConnectingPoint num="2" id="1793" /> -<TGConnectingPoint num="3" id="1794" /> -<TGConnectingPoint num="4" id="1795" /> -<TGConnectingPoint num="5" id="1796" /> -<TGConnectingPoint num="6" id="1797" /> -<TGConnectingPoint num="7" id="1798" /> -<TGConnectingPoint num="8" id="1799" /> +<infoparam name="Primitive port - Port DE" value="outSoclib" /> +<TGConnectingPoint num="0" id="1809" /> +<TGConnectingPoint num="1" id="1810" /> +<TGConnectingPoint num="2" id="1811" /> +<TGConnectingPoint num="3" id="1812" /> +<TGConnectingPoint num="4" id="1813" /> +<TGConnectingPoint num="5" id="1814" /> +<TGConnectingPoint num="6" id="1815" /> +<TGConnectingPoint num="7" id="1816" /> +<TGConnectingPoint num="8" id="1817" /> <extraparam> -<Prop commName="inSoclib" commType="0" origin="0" type="int" sensitive="false" sensitive_method="positive" nbits="0" /> +<Prop commName="outSoclib" commType="0" origin="1" type="int" sensitive="false" sensitive_method="positive" nbits="0" /> </extraparam> </SUBCOMPONENT> -<COMPONENT type="1607" id="1934" > +<COMPONENT type="1607" id="1952" > <cdparam x="90" y="73" /> <sizeparam width="764" height="578" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="Composite component - Cluster" value="distance_sensor" /> -<TGConnectingPoint num="0" id="1926" /> -<TGConnectingPoint num="1" id="1927" /> -<TGConnectingPoint num="2" id="1928" /> -<TGConnectingPoint num="3" id="1929" /> -<TGConnectingPoint num="4" id="1930" /> -<TGConnectingPoint num="5" id="1931" /> -<TGConnectingPoint num="6" id="1932" /> -<TGConnectingPoint num="7" id="1933" /> -</COMPONENT> -<SUBCOMPONENT type="1602" id="1828" > -<father id="1934" num="0" /> -<cdparam x="158" y="477" /> -<sizeparam width="232" height="150" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<TGConnectingPoint num="0" id="1944" /> +<TGConnectingPoint num="1" id="1945" /> +<TGConnectingPoint num="2" id="1946" /> +<TGConnectingPoint num="3" id="1947" /> +<TGConnectingPoint num="4" id="1948" /> +<TGConnectingPoint num="5" id="1949" /> +<TGConnectingPoint num="6" id="1950" /> +<TGConnectingPoint num="7" id="1951" /> +</COMPONENT> +<SUBCOMPONENT type="1603" id="1886" > +<father id="1952" num="0" /> +<cdparam x="583" y="304" /> +<sizeparam width="200" height="150" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="532" minY="0" maxY="428" /> -<infoparam name="Primitive component - Block TDF" value="ultrasonic_sensor_right" /> -<TGConnectingPoint num="0" id="1820" /> -<TGConnectingPoint num="1" id="1821" /> -<TGConnectingPoint num="2" id="1822" /> -<TGConnectingPoint num="3" id="1823" /> -<TGConnectingPoint num="4" id="1824" /> -<TGConnectingPoint num="5" id="1825" /> -<TGConnectingPoint num="6" id="1826" /> -<TGConnectingPoint num="7" id="1827" /> +<cdrectangleparam minX="0" maxX="564" minY="0" maxY="428" /> +<infoparam name="Primitive component - Block DE" value="controller" /> +<TGConnectingPoint num="0" id="1878" /> +<TGConnectingPoint num="1" id="1879" /> +<TGConnectingPoint num="2" id="1880" /> +<TGConnectingPoint num="3" id="1881" /> +<TGConnectingPoint num="4" id="1882" /> +<TGConnectingPoint num="5" id="1883" /> +<TGConnectingPoint num="6" id="1884" /> +<TGConnectingPoint num="7" id="1885" /> <extraparam> -<Attribute period="100.0" time="ns" processCode="void processing() { - out.write(rand() % 12); +<Attribute name_function="read_sensor" code="void read_sensor() { + if(in.read() == 0) { + out.write(in_left.read()); + //cout << "Reading sensor left: " << in_left.read() << "@ " << this->get_time() << endl; + } + else if(in.read() == 1) { + out.write(in_front.read()); + //cout << "Reading sensor front: " << in_front.read() << "@ " << this->get_time() << endl; + } + else if(in.read() == 2) { + out.write(in_right.read()); + //cout << "Reading sensor right: " << in_right.read() << "@ " << this->get_time() << endl; + } } - " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> + " listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1606" id="1819" > -<father id="1828" num="0" /> -<cdparam x="380" y="567" /> +<SUBCOMPONENT type="1605" id="1837" > +<father id="1886" num="0" /> +<cdparam x="773" y="400" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="-10" maxX="222" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port Converter" value="out" /> -<TGConnectingPoint num="0" id="1810" /> -<TGConnectingPoint num="1" id="1811" /> -<TGConnectingPoint num="2" id="1812" /> -<TGConnectingPoint num="3" id="1813" /> -<TGConnectingPoint num="4" id="1814" /> -<TGConnectingPoint num="5" id="1815" /> -<TGConnectingPoint num="6" id="1816" /> -<TGConnectingPoint num="7" id="1817" /> -<TGConnectingPoint num="8" id="1818" /> -<extraparam> -<Prop commName="out" commType="0" origin="1" period="100.0" time="ns" rate="-1" nbits="0" delay="-1" type="int" /> -</extraparam> -</SUBCOMPONENT> -<SUBCOMPONENT type="1602" id="1847" > -<father id="1934" num="1" /> -<cdparam x="155" y="117" /> -<sizeparam width="225" height="150" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> -<hidden value="false" /> -<cdrectangleparam minX="0" maxX="539" minY="0" maxY="428" /> -<infoparam name="Primitive component - Block TDF" value="ultrasonic_sensor_left" /> -<TGConnectingPoint num="0" id="1839" /> -<TGConnectingPoint num="1" id="1840" /> -<TGConnectingPoint num="2" id="1841" /> -<TGConnectingPoint num="3" id="1842" /> -<TGConnectingPoint num="4" id="1843" /> -<TGConnectingPoint num="5" id="1844" /> -<TGConnectingPoint num="6" id="1845" /> -<TGConnectingPoint num="7" id="1846" /> +<cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> +<infoparam name="Primitive port - Port DE" value="out" /> +<TGConnectingPoint num="0" id="1828" /> +<TGConnectingPoint num="1" id="1829" /> +<TGConnectingPoint num="2" id="1830" /> +<TGConnectingPoint num="3" id="1831" /> +<TGConnectingPoint num="4" id="1832" /> +<TGConnectingPoint num="5" id="1833" /> +<TGConnectingPoint num="6" id="1834" /> +<TGConnectingPoint num="7" id="1835" /> +<TGConnectingPoint num="8" id="1836" /> <extraparam> -<Attribute period="100.0" time="ns" processCode="void processing() { - out.write(rand() % 12); -} - " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> +<Prop commName="out" commType="0" origin="1" type="sc_uint<32>" sensitive="false" sensitive_method="positive" nbits="0" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1606" id="1838" > -<father id="1847" num="0" /> -<cdparam x="370" y="192" /> +<SUBCOMPONENT type="1605" id="1847" > +<father id="1886" num="1" /> +<cdparam x="773" y="341" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="-10" maxX="215" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port Converter" value="out" /> -<TGConnectingPoint num="0" id="1829" /> -<TGConnectingPoint num="1" id="1830" /> -<TGConnectingPoint num="2" id="1831" /> -<TGConnectingPoint num="3" id="1832" /> -<TGConnectingPoint num="4" id="1833" /> -<TGConnectingPoint num="5" id="1834" /> -<TGConnectingPoint num="6" id="1835" /> -<TGConnectingPoint num="7" id="1836" /> -<TGConnectingPoint num="8" id="1837" /> -<extraparam> -<Prop commName="out" commType="0" origin="1" period="100.0" time="ns" rate="-1" nbits="0" delay="-1" type="int" /> -</extraparam> -</SUBCOMPONENT> -<SUBCOMPONENT type="1602" id="1866" > -<father id="1934" num="2" /> -<cdparam x="157" y="297" /> -<sizeparam width="228" height="149" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> -<hidden value="false" /> -<cdrectangleparam minX="0" maxX="536" minY="0" maxY="429" /> -<infoparam name="Primitive component - Block TDF" value="ultrasonic_sensor_front" /> -<TGConnectingPoint num="0" id="1858" /> -<TGConnectingPoint num="1" id="1859" /> -<TGConnectingPoint num="2" id="1860" /> -<TGConnectingPoint num="3" id="1861" /> -<TGConnectingPoint num="4" id="1862" /> -<TGConnectingPoint num="5" id="1863" /> -<TGConnectingPoint num="6" id="1864" /> -<TGConnectingPoint num="7" id="1865" /> +<cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> +<infoparam name="Primitive port - Port DE" value="in" /> +<TGConnectingPoint num="0" id="1838" /> +<TGConnectingPoint num="1" id="1839" /> +<TGConnectingPoint num="2" id="1840" /> +<TGConnectingPoint num="3" id="1841" /> +<TGConnectingPoint num="4" id="1842" /> +<TGConnectingPoint num="5" id="1843" /> +<TGConnectingPoint num="6" id="1844" /> +<TGConnectingPoint num="7" id="1845" /> +<TGConnectingPoint num="8" id="1846" /> <extraparam> -<Attribute period="100.0" time="ns" processCode="void processing() { - //out.write(rand() % 12); - out.write(2); -} - " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> +<Prop commName="in" commType="0" origin="0" type="sc_uint<32>" sensitive="true" sensitive_method="" nbits="0" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1606" id="1857" > -<father id="1866" num="0" /> -<cdparam x="375" y="376" /> +<SUBCOMPONENT type="1605" id="1857" > +<father id="1886" num="2" /> +<cdparam x="573" y="431" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="-10" maxX="218" minY="-10" maxY="139" /> -<infoparam name="Primitive port - Port Converter" value="out" /> +<cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> +<infoparam name="Primitive port - Port DE" value="in_right" /> <TGConnectingPoint num="0" id="1848" /> <TGConnectingPoint num="1" id="1849" /> <TGConnectingPoint num="2" id="1850" /> @@ -5266,89 +5232,79 @@ state 2: obstacles in close proximity <TGConnectingPoint num="7" id="1855" /> <TGConnectingPoint num="8" id="1856" /> <extraparam> -<Prop commName="out" commType="0" origin="1" period="100.0" time="ns" rate="-1" nbits="0" delay="-1" type="int" /> +<Prop commName="in_right" commType="0" origin="0" type="int" sensitive="false" sensitive_method="positive" nbits="0" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1603" id="1925" > -<father id="1934" num="3" /> -<cdparam x="583" y="304" /> -<sizeparam width="200" height="150" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<SUBCOMPONENT type="1605" id="1867" > +<father id="1886" num="3" /> +<cdparam x="573" y="376" /> +<sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="0" maxX="564" minY="0" maxY="428" /> -<infoparam name="Primitive component - Block DE" value="controller" /> -<TGConnectingPoint num="0" id="1917" /> -<TGConnectingPoint num="1" id="1918" /> -<TGConnectingPoint num="2" id="1919" /> -<TGConnectingPoint num="3" id="1920" /> -<TGConnectingPoint num="4" id="1921" /> -<TGConnectingPoint num="5" id="1922" /> -<TGConnectingPoint num="6" id="1923" /> -<TGConnectingPoint num="7" id="1924" /> +<cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> +<infoparam name="Primitive port - Port DE" value="in_front" /> +<TGConnectingPoint num="0" id="1858" /> +<TGConnectingPoint num="1" id="1859" /> +<TGConnectingPoint num="2" id="1860" /> +<TGConnectingPoint num="3" id="1861" /> +<TGConnectingPoint num="4" id="1862" /> +<TGConnectingPoint num="5" id="1863" /> +<TGConnectingPoint num="6" id="1864" /> +<TGConnectingPoint num="7" id="1865" /> +<TGConnectingPoint num="8" id="1866" /> <extraparam> -<Attribute name_function="read_sensor" code="void read_sensor() { - if(in.read() == 0) { - out.write(in_left.read()); - //cout << "Reading sensor left: " << in_left.read() << "@ " << this->get_time() << endl; - } - else if(in.read() == 1) { - out.write(in_front.read()); - //cout << "Reading sensor front: " << in_front.read() << "@ " << this->get_time() << endl; - } - else if(in.read() == 2) { - out.write(in_right.read()); - //cout << "Reading sensor right: " << in_right.read() << "@ " << this->get_time() << endl; - } -} - " listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> +<Prop commName="in_front" commType="0" origin="0" type="int" sensitive="false" sensitive_method="positive" nbits="0" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1605" id="1876" > -<father id="1925" num="0" /> +<SUBCOMPONENT type="1605" id="1877" > +<father id="1886" num="4" /> <cdparam x="573" y="328" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> <infoparam name="Primitive port - Port DE" value="in_left" /> -<TGConnectingPoint num="0" id="1867" /> -<TGConnectingPoint num="1" id="1868" /> -<TGConnectingPoint num="2" id="1869" /> -<TGConnectingPoint num="3" id="1870" /> -<TGConnectingPoint num="4" id="1871" /> -<TGConnectingPoint num="5" id="1872" /> -<TGConnectingPoint num="6" id="1873" /> -<TGConnectingPoint num="7" id="1874" /> -<TGConnectingPoint num="8" id="1875" /> +<TGConnectingPoint num="0" id="1868" /> +<TGConnectingPoint num="1" id="1869" /> +<TGConnectingPoint num="2" id="1870" /> +<TGConnectingPoint num="3" id="1871" /> +<TGConnectingPoint num="4" id="1872" /> +<TGConnectingPoint num="5" id="1873" /> +<TGConnectingPoint num="6" id="1874" /> +<TGConnectingPoint num="7" id="1875" /> +<TGConnectingPoint num="8" id="1876" /> <extraparam> <Prop commName="in_left" commType="0" origin="0" type="int" sensitive="false" sensitive_method="positive" nbits="0" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1605" id="1886" > -<father id="1925" num="1" /> -<cdparam x="573" y="376" /> -<sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<SUBCOMPONENT type="1602" id="1905" > +<father id="1952" num="1" /> +<cdparam x="157" y="297" /> +<sizeparam width="228" height="149" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="in_front" /> -<TGConnectingPoint num="0" id="1877" /> -<TGConnectingPoint num="1" id="1878" /> -<TGConnectingPoint num="2" id="1879" /> -<TGConnectingPoint num="3" id="1880" /> -<TGConnectingPoint num="4" id="1881" /> -<TGConnectingPoint num="5" id="1882" /> -<TGConnectingPoint num="6" id="1883" /> -<TGConnectingPoint num="7" id="1884" /> -<TGConnectingPoint num="8" id="1885" /> +<cdrectangleparam minX="0" maxX="536" minY="0" maxY="429" /> +<infoparam name="Primitive component - Block TDF" value="ultrasonic_sensor_front" /> +<TGConnectingPoint num="0" id="1897" /> +<TGConnectingPoint num="1" id="1898" /> +<TGConnectingPoint num="2" id="1899" /> +<TGConnectingPoint num="3" id="1900" /> +<TGConnectingPoint num="4" id="1901" /> +<TGConnectingPoint num="5" id="1902" /> +<TGConnectingPoint num="6" id="1903" /> +<TGConnectingPoint num="7" id="1904" /> <extraparam> -<Prop commName="in_front" commType="0" origin="0" type="int" sensitive="false" sensitive_method="positive" nbits="0" /> +<Attribute period="100.0" time="ns" processCode="void processing() { + //out.write(rand() % 12); + out.write(2); +} + " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1605" id="1896" > -<father id="1925" num="2" /> -<cdparam x="573" y="431" /> +<SUBCOMPONENT type="1606" id="1896" > +<father id="1905" num="0" /> +<cdparam x="375" y="376" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="in_right" /> +<cdrectangleparam minX="-10" maxX="218" minY="-10" maxY="139" /> +<infoparam name="Primitive port - Port Converter" value="out" /> <TGConnectingPoint num="0" id="1887" /> <TGConnectingPoint num="1" id="1888" /> <TGConnectingPoint num="2" id="1889" /> @@ -5359,47 +5315,91 @@ state 2: obstacles in close proximity <TGConnectingPoint num="7" id="1894" /> <TGConnectingPoint num="8" id="1895" /> <extraparam> -<Prop commName="in_right" commType="0" origin="0" type="int" sensitive="false" sensitive_method="positive" nbits="0" /> +<Prop commName="out" commType="0" origin="1" period="100.0" time="ns" rate="-1" nbits="0" delay="-1" type="int" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1605" id="1906" > -<father id="1925" num="3" /> -<cdparam x="773" y="341" /> +<SUBCOMPONENT type="1602" id="1924" > +<father id="1952" num="2" /> +<cdparam x="155" y="117" /> +<sizeparam width="225" height="150" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<hidden value="false" /> +<cdrectangleparam minX="0" maxX="539" minY="0" maxY="428" /> +<infoparam name="Primitive component - Block TDF" value="ultrasonic_sensor_left" /> +<TGConnectingPoint num="0" id="1916" /> +<TGConnectingPoint num="1" id="1917" /> +<TGConnectingPoint num="2" id="1918" /> +<TGConnectingPoint num="3" id="1919" /> +<TGConnectingPoint num="4" id="1920" /> +<TGConnectingPoint num="5" id="1921" /> +<TGConnectingPoint num="6" id="1922" /> +<TGConnectingPoint num="7" id="1923" /> +<extraparam> +<Attribute period="100.0" time="ns" processCode="void processing() { + out.write(rand() % 12); +} + " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> +</extraparam> +</SUBCOMPONENT> +<SUBCOMPONENT type="1606" id="1915" > +<father id="1924" num="0" /> +<cdparam x="370" y="192" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="in" /> -<TGConnectingPoint num="0" id="1897" /> -<TGConnectingPoint num="1" id="1898" /> -<TGConnectingPoint num="2" id="1899" /> -<TGConnectingPoint num="3" id="1900" /> -<TGConnectingPoint num="4" id="1901" /> -<TGConnectingPoint num="5" id="1902" /> -<TGConnectingPoint num="6" id="1903" /> -<TGConnectingPoint num="7" id="1904" /> -<TGConnectingPoint num="8" id="1905" /> +<cdrectangleparam minX="-10" maxX="215" minY="-10" maxY="140" /> +<infoparam name="Primitive port - Port Converter" value="out" /> +<TGConnectingPoint num="0" id="1906" /> +<TGConnectingPoint num="1" id="1907" /> +<TGConnectingPoint num="2" id="1908" /> +<TGConnectingPoint num="3" id="1909" /> +<TGConnectingPoint num="4" id="1910" /> +<TGConnectingPoint num="5" id="1911" /> +<TGConnectingPoint num="6" id="1912" /> +<TGConnectingPoint num="7" id="1913" /> +<TGConnectingPoint num="8" id="1914" /> <extraparam> -<Prop commName="in" commType="0" origin="0" type="sc_uint<32>" sensitive="true" sensitive_method="" nbits="0" /> +<Prop commName="out" commType="0" origin="1" period="100.0" time="ns" rate="-1" nbits="0" delay="-1" type="int" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1605" id="1916" > -<father id="1925" num="4" /> -<cdparam x="773" y="400" /> +<SUBCOMPONENT type="1602" id="1943" > +<father id="1952" num="3" /> +<cdparam x="158" y="477" /> +<sizeparam width="232" height="150" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> +<hidden value="false" /> +<cdrectangleparam minX="0" maxX="532" minY="0" maxY="428" /> +<infoparam name="Primitive component - Block TDF" value="ultrasonic_sensor_right" /> +<TGConnectingPoint num="0" id="1935" /> +<TGConnectingPoint num="1" id="1936" /> +<TGConnectingPoint num="2" id="1937" /> +<TGConnectingPoint num="3" id="1938" /> +<TGConnectingPoint num="4" id="1939" /> +<TGConnectingPoint num="5" id="1940" /> +<TGConnectingPoint num="6" id="1941" /> +<TGConnectingPoint num="7" id="1942" /> +<extraparam> +<Attribute period="100.0" time="ns" processCode="void processing() { + out.write(rand() % 12); +} + " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> +</extraparam> +</SUBCOMPONENT> +<SUBCOMPONENT type="1606" id="1934" > +<father id="1943" num="0" /> +<cdparam x="380" y="567" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> -<cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="out" /> -<TGConnectingPoint num="0" id="1907" /> -<TGConnectingPoint num="1" id="1908" /> -<TGConnectingPoint num="2" id="1909" /> -<TGConnectingPoint num="3" id="1910" /> -<TGConnectingPoint num="4" id="1911" /> -<TGConnectingPoint num="5" id="1912" /> -<TGConnectingPoint num="6" id="1913" /> -<TGConnectingPoint num="7" id="1914" /> -<TGConnectingPoint num="8" id="1915" /> +<cdrectangleparam minX="-10" maxX="222" minY="-10" maxY="140" /> +<infoparam name="Primitive port - Port Converter" value="out" /> +<TGConnectingPoint num="0" id="1925" /> +<TGConnectingPoint num="1" id="1926" /> +<TGConnectingPoint num="2" id="1927" /> +<TGConnectingPoint num="3" id="1928" /> +<TGConnectingPoint num="4" id="1929" /> +<TGConnectingPoint num="5" id="1930" /> +<TGConnectingPoint num="6" id="1931" /> +<TGConnectingPoint num="7" id="1932" /> +<TGConnectingPoint num="8" id="1933" /> <extraparam> -<Prop commName="out" commType="0" origin="1" type="sc_uint<32>" sensitive="false" sensitive_method="positive" nbits="0" /> +<Prop commName="out" commType="0" origin="1" period="100.0" time="ns" rate="-1" nbits="0" delay="-1" type="int" /> </extraparam> </SUBCOMPONENT> @@ -5407,108 +5407,108 @@ state 2: obstacles in close proximity </SysCAMSComponentTaskDiagramPanel> <SysCAMSComponentTaskDiagramPanel name="temperature_sensor" minX="10" maxX="2500" minY="10" maxY="1500" TDF="true" DE="true" zoom="1.0" > -<CONNECTOR type="1601" id="1935" > +<CONNECTOR type="1601" id="1953" > <cdparam x="700" y="426" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="690" y="416" id="1976" /> -<P2 x="878" y="416" id="1947" /> +<P1 x="690" y="416" id="1984" /> +<P2 x="878" y="416" id="1955" /> <AutomaticDrawing data="true" /> </CONNECTOR> -<CONNECTOR type="1601" id="1936" > +<CONNECTOR type="1601" id="1954" > <cdparam x="884" y="360" /> <sizeparam width="0" height="0" minWidth="0" minHeight="0" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <infoparam name="connector" value="" /> -<P1 x="878" y="343" id="1937" /> -<P2 x="690" y="343" id="1966" /> +<P1 x="878" y="343" id="1965" /> +<P2 x="690" y="343" id="1994" /> <AutomaticDrawing data="true" /> </CONNECTOR> -<COMPONENT type="1608" id="1965" > +<COMPONENT type="1608" id="1983" > <cdparam x="878" y="314" /> <sizeparam width="200" height="150" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="Primitive component - Block GPIO2VCI" value="blockGPIO2VCI" /> -<TGConnectingPoint num="0" id="1957" /> -<TGConnectingPoint num="1" id="1958" /> -<TGConnectingPoint num="2" id="1959" /> -<TGConnectingPoint num="3" id="1960" /> -<TGConnectingPoint num="4" id="1961" /> -<TGConnectingPoint num="5" id="1962" /> -<TGConnectingPoint num="6" id="1963" /> -<TGConnectingPoint num="7" id="1964" /> -</COMPONENT> -<SUBCOMPONENT type="1605" id="1946" > -<father id="1965" num="0" /> -<cdparam x="868" y="343" /> +<TGConnectingPoint num="0" id="1975" /> +<TGConnectingPoint num="1" id="1976" /> +<TGConnectingPoint num="2" id="1977" /> +<TGConnectingPoint num="3" id="1978" /> +<TGConnectingPoint num="4" id="1979" /> +<TGConnectingPoint num="5" id="1980" /> +<TGConnectingPoint num="6" id="1981" /> +<TGConnectingPoint num="7" id="1982" /> +</COMPONENT> +<SUBCOMPONENT type="1605" id="1964" > +<father id="1983" num="0" /> +<cdparam x="868" y="416" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="out" /> -<TGConnectingPoint num="0" id="1937" /> -<TGConnectingPoint num="1" id="1938" /> -<TGConnectingPoint num="2" id="1939" /> -<TGConnectingPoint num="3" id="1940" /> -<TGConnectingPoint num="4" id="1941" /> -<TGConnectingPoint num="5" id="1942" /> -<TGConnectingPoint num="6" id="1943" /> -<TGConnectingPoint num="7" id="1944" /> -<TGConnectingPoint num="8" id="1945" /> +<infoparam name="Primitive port - Port DE" value="in" /> +<TGConnectingPoint num="0" id="1955" /> +<TGConnectingPoint num="1" id="1956" /> +<TGConnectingPoint num="2" id="1957" /> +<TGConnectingPoint num="3" id="1958" /> +<TGConnectingPoint num="4" id="1959" /> +<TGConnectingPoint num="5" id="1960" /> +<TGConnectingPoint num="6" id="1961" /> +<TGConnectingPoint num="7" id="1962" /> +<TGConnectingPoint num="8" id="1963" /> <extraparam> -<Prop commName="out" commType="0" origin="1" type="int" sensitive="false" sensitive_method="positive" nbits="0" /> +<Prop commName="in" commType="0" origin="0" type="int" sensitive="false" sensitive_method="positive" nbits="0" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1605" id="1956" > -<father id="1965" num="1" /> -<cdparam x="868" y="416" /> +<SUBCOMPONENT type="1605" id="1974" > +<father id="1983" num="1" /> +<cdparam x="868" y="343" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="190" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port DE" value="in" /> -<TGConnectingPoint num="0" id="1947" /> -<TGConnectingPoint num="1" id="1948" /> -<TGConnectingPoint num="2" id="1949" /> -<TGConnectingPoint num="3" id="1950" /> -<TGConnectingPoint num="4" id="1951" /> -<TGConnectingPoint num="5" id="1952" /> -<TGConnectingPoint num="6" id="1953" /> -<TGConnectingPoint num="7" id="1954" /> -<TGConnectingPoint num="8" id="1955" /> +<infoparam name="Primitive port - Port DE" value="out" /> +<TGConnectingPoint num="0" id="1965" /> +<TGConnectingPoint num="1" id="1966" /> +<TGConnectingPoint num="2" id="1967" /> +<TGConnectingPoint num="3" id="1968" /> +<TGConnectingPoint num="4" id="1969" /> +<TGConnectingPoint num="5" id="1970" /> +<TGConnectingPoint num="6" id="1971" /> +<TGConnectingPoint num="7" id="1972" /> +<TGConnectingPoint num="8" id="1973" /> <extraparam> -<Prop commName="in" commType="0" origin="0" type="int" sensitive="false" sensitive_method="positive" nbits="0" /> +<Prop commName="out" commType="0" origin="1" type="int" sensitive="false" sensitive_method="positive" nbits="0" /> </extraparam> </SUBCOMPONENT> -<COMPONENT type="1607" id="2003" > +<COMPONENT type="1607" id="2021" > <cdparam x="308" y="233" /> <sizeparam width="493" height="291" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="10" maxX="2500" minY="10" maxY="1500" /> <infoparam name="Composite component - Cluster" value="temperature_sensor" /> -<TGConnectingPoint num="0" id="1995" /> -<TGConnectingPoint num="1" id="1996" /> -<TGConnectingPoint num="2" id="1997" /> -<TGConnectingPoint num="3" id="1998" /> -<TGConnectingPoint num="4" id="1999" /> -<TGConnectingPoint num="5" id="2000" /> -<TGConnectingPoint num="6" id="2001" /> -<TGConnectingPoint num="7" id="2002" /> -</COMPONENT> -<SUBCOMPONENT type="1602" id="1994" > -<father id="2003" num="0" /> +<TGConnectingPoint num="0" id="2013" /> +<TGConnectingPoint num="1" id="2014" /> +<TGConnectingPoint num="2" id="2015" /> +<TGConnectingPoint num="3" id="2016" /> +<TGConnectingPoint num="4" id="2017" /> +<TGConnectingPoint num="5" id="2018" /> +<TGConnectingPoint num="6" id="2019" /> +<TGConnectingPoint num="7" id="2020" /> +</COMPONENT> +<SUBCOMPONENT type="1602" id="2012" > +<father id="2021" num="0" /> <cdparam x="408" y="311" /> <sizeparam width="282" height="150" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="0" maxX="211" minY="0" maxY="141" /> <infoparam name="Primitive component - Block TDF" value="temperature_sensor_unit" /> -<TGConnectingPoint num="0" id="1986" /> -<TGConnectingPoint num="1" id="1987" /> -<TGConnectingPoint num="2" id="1988" /> -<TGConnectingPoint num="3" id="1989" /> -<TGConnectingPoint num="4" id="1990" /> -<TGConnectingPoint num="5" id="1991" /> -<TGConnectingPoint num="6" id="1992" /> -<TGConnectingPoint num="7" id="1993" /> +<TGConnectingPoint num="0" id="2004" /> +<TGConnectingPoint num="1" id="2005" /> +<TGConnectingPoint num="2" id="2006" /> +<TGConnectingPoint num="3" id="2007" /> +<TGConnectingPoint num="4" id="2008" /> +<TGConnectingPoint num="5" id="2009" /> +<TGConnectingPoint num="6" id="2010" /> +<TGConnectingPoint num="7" id="2011" /> <extraparam> <Attribute period="10.0" time="μs" processCode="void processing() { if(in.read() != 0) { @@ -5518,47 +5518,47 @@ state 2: obstacles in close proximity cout << "Temp sensor is off. @ " << this->get_time() << endl; } } - " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> + " constructorCode="" listStruct="" nameTemplate="" typeTemplate="int" valueTemplate="" listTypedef="" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1606" id="1975" > -<father id="1994" num="0" /> -<cdparam x="680" y="343" /> +<SUBCOMPONENT type="1606" id="1993" > +<father id="2012" num="0" /> +<cdparam x="680" y="416" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="272" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port Converter" value="in" /> -<TGConnectingPoint num="0" id="1966" /> -<TGConnectingPoint num="1" id="1967" /> -<TGConnectingPoint num="2" id="1968" /> -<TGConnectingPoint num="3" id="1969" /> -<TGConnectingPoint num="4" id="1970" /> -<TGConnectingPoint num="5" id="1971" /> -<TGConnectingPoint num="6" id="1972" /> -<TGConnectingPoint num="7" id="1973" /> -<TGConnectingPoint num="8" id="1974" /> +<infoparam name="Primitive port - Port Converter" value="out" /> +<TGConnectingPoint num="0" id="1984" /> +<TGConnectingPoint num="1" id="1985" /> +<TGConnectingPoint num="2" id="1986" /> +<TGConnectingPoint num="3" id="1987" /> +<TGConnectingPoint num="4" id="1988" /> +<TGConnectingPoint num="5" id="1989" /> +<TGConnectingPoint num="6" id="1990" /> +<TGConnectingPoint num="7" id="1991" /> +<TGConnectingPoint num="8" id="1992" /> <extraparam> -<Prop commName="in" commType="0" origin="0" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> +<Prop commName="out" commType="0" origin="1" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> </extraparam> </SUBCOMPONENT> -<SUBCOMPONENT type="1606" id="1985" > -<father id="1994" num="1" /> -<cdparam x="680" y="416" /> +<SUBCOMPONENT type="1606" id="2003" > +<father id="2012" num="1" /> +<cdparam x="680" y="343" /> <sizeparam width="20" height="20" minWidth="1" minHeight="1" maxWidth="2000" maxHeight="2000" minDesiredWidth="0" minDesiredHeight="0" /> <hidden value="false" /> <cdrectangleparam minX="-10" maxX="272" minY="-10" maxY="140" /> -<infoparam name="Primitive port - Port Converter" value="out" /> -<TGConnectingPoint num="0" id="1976" /> -<TGConnectingPoint num="1" id="1977" /> -<TGConnectingPoint num="2" id="1978" /> -<TGConnectingPoint num="3" id="1979" /> -<TGConnectingPoint num="4" id="1980" /> -<TGConnectingPoint num="5" id="1981" /> -<TGConnectingPoint num="6" id="1982" /> -<TGConnectingPoint num="7" id="1983" /> -<TGConnectingPoint num="8" id="1984" /> +<infoparam name="Primitive port - Port Converter" value="in" /> +<TGConnectingPoint num="0" id="1994" /> +<TGConnectingPoint num="1" id="1995" /> +<TGConnectingPoint num="2" id="1996" /> +<TGConnectingPoint num="3" id="1997" /> +<TGConnectingPoint num="4" id="1998" /> +<TGConnectingPoint num="5" id="1999" /> +<TGConnectingPoint num="6" id="2000" /> +<TGConnectingPoint num="7" id="2001" /> +<TGConnectingPoint num="8" id="2002" /> <extraparam> -<Prop commName="out" commType="0" origin="1" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> +<Prop commName="in" commType="0" origin="0" period="-1.0" time="s" rate="-1" nbits="0" delay="-1" type="sc_uint<32>" /> </extraparam> </SUBCOMPONENT> diff --git a/src/main/java/syscamstranslator/SysCAMSTBlockDE.java b/src/main/java/syscamstranslator/SysCAMSTBlockDE.java index ea5bc822604116ba9bd47e4b1d19e211cc1dfd12..9bcb3fe91ba7ed78cd13369a0c9ad4c05d7d843c 100644 --- a/src/main/java/syscamstranslator/SysCAMSTBlockDE.java +++ b/src/main/java/syscamstranslator/SysCAMSTBlockDE.java @@ -52,6 +52,7 @@ import javax.swing.DefaultListModel; public class SysCAMSTBlockDE extends SysCAMSTComponent { private String name; + private String clockName; // private int period; // private String time; private String nameFn; @@ -66,8 +67,9 @@ public class SysCAMSTBlockDE extends SysCAMSTComponent { private LinkedList<SysCAMSTPortDE> portDE; - public SysCAMSTBlockDE(String _name, String _nameFn, String _code, DefaultListModel<String> _listStruct, String _nameTemplate, String _typeTemplate, String _valueTemplate, DefaultListModel<String> _listTypedef, SysCAMSTCluster _cluster) { + public SysCAMSTBlockDE(String _name, String _clockName, String _nameFn, String _code, DefaultListModel<String> _listStruct, String _nameTemplate, String _typeTemplate, String _valueTemplate, DefaultListModel<String> _listTypedef, SysCAMSTCluster _cluster) { name = _name; + clockName = _clockName; // period = _period; // time = _time; nameFn = _nameFn; @@ -93,6 +95,11 @@ public class SysCAMSTBlockDE extends SysCAMSTComponent { return name; } + public String getClockName() { + return clockName; + } + + public String getNameFn() { return nameFn; } diff --git a/src/main/java/syscamstranslator/SysCAMSTClock.java b/src/main/java/syscamstranslator/SysCAMSTClock.java index 369705e19b1ed03d88736804d3f9f209b56e0e07..3144723f9daf0ef8907240aa3744d8abf4cd2319 100644 --- a/src/main/java/syscamstranslator/SysCAMSTClock.java +++ b/src/main/java/syscamstranslator/SysCAMSTClock.java @@ -48,19 +48,21 @@ import javax.swing.DefaultListModel; public class SysCAMSTClock extends SysCAMSTComponent{ private String name; - private String frequency; + private double frequency; private String unit; - private String dutyCycle; - private String startTime; - private String posFirst; + private double dutyCycle; + private double startTime; + private String unitStartTime; + private boolean posFirst; - public SysCAMSTClock(String _name, String _frequency, String _unit, String _dutyCycle, String _startTime, String _posFirst) { + public SysCAMSTClock(String _name, double _frequency, String _unit, double _dutyCycle, double _startTime, String _unitStartTime, boolean _posFirst) { name = _name; frequency = _frequency; unit = _unit; dutyCycle = _dutyCycle; startTime = _startTime; + unitStartTime = _unitStartTime; posFirst = _posFirst; } @@ -69,7 +71,7 @@ public class SysCAMSTClock extends SysCAMSTComponent{ return name; } - public String getFrequency() { + public double getFrequency() { return frequency; } @@ -77,16 +79,20 @@ public class SysCAMSTClock extends SysCAMSTComponent{ return unit; } - public String getDutyCycle() { + public String getUnitStartTime() { + return unitStartTime; + } + + public double getDutyCycle() { return dutyCycle; } - public String getStartTime() { + public double getStartTime() { return startTime; } - public String getPosFirst() { + public boolean getPosFirst() { return posFirst; } @@ -95,7 +101,7 @@ public class SysCAMSTClock extends SysCAMSTComponent{ name = _name; } - public void setFrequency(String _frequency) { + public void setFrequency(double _frequency) { frequency = _frequency; } @@ -103,17 +109,21 @@ public class SysCAMSTClock extends SysCAMSTComponent{ unit = _unit; } - public void setDutyCycle(String _dutyCycle) { + public void setUnitStartTime(String _unitStartTime) { + unitStartTime = _unitStartTime; + } + + public void setDutyCycle(double _dutyCycle) { dutyCycle = _dutyCycle; } - public void setStartTime(String _startTime) { + public void setStartTime(double _startTime) { startTime = _startTime; } - public void setPosFirst(String _posFirst) { + public void setPosFirst(boolean _posFirst) { posFirst = _posFirst; } diff --git a/src/main/java/syscamstranslator/SysCAMSTCluster.java b/src/main/java/syscamstranslator/SysCAMSTCluster.java index 17b8059778c918b3b4ec2e8c3b92869f70639d08..6f9f7593e53cfd7dc31e901c4fbcbd5c1b80e88e 100644 --- a/src/main/java/syscamstranslator/SysCAMSTCluster.java +++ b/src/main/java/syscamstranslator/SysCAMSTCluster.java @@ -81,13 +81,13 @@ public class SysCAMSTCluster extends SysCAMSTComponent { public void addBlockDE(SysCAMSTBlockDE _blockDE){ blockDE.add(_blockDE); } + + public void addClock(SysCAMSTClock _clock){ + clock.add(_clock); + } public LinkedList<SysCAMSTClock> getClock(){ return clock; } - public void addBlockDE(SysCAMSTClock _clock){ - clock.add(_clock); - } - } diff --git a/src/main/java/syscamstranslator/SysCAMSTPortConverter.java b/src/main/java/syscamstranslator/SysCAMSTPortConverter.java index 98a45a4148ee7243d01f7628da5ca4823cb0d501..1997ea6b746ca362e685bae5b80c984e19c91ca7 100644 --- a/src/main/java/syscamstranslator/SysCAMSTPortConverter.java +++ b/src/main/java/syscamstranslator/SysCAMSTPortConverter.java @@ -54,18 +54,20 @@ public class SysCAMSTPortConverter extends SysCAMSTComponent { private int rate; private int delay; private int origin; + private int nbits; private String ConvType; private boolean recompute; private SysCAMSTBlockTDF blockTDF; - public SysCAMSTPortConverter(String _name, double _period, String _time, int _rate, int _delay, int _origin, String _ConvType, SysCAMSTBlockTDF _blockTDF) { + public SysCAMSTPortConverter(String _name, double _period, String _time, int _rate, int _delay, int _origin, int _nbits, String _ConvType, SysCAMSTBlockTDF _blockTDF) { name = _name; period = _period; time = _time; rate = _rate; delay = _delay; origin = _origin; + nbits = _nbits; ConvType = _ConvType; blockTDF = _blockTDF; recompute = false; @@ -90,6 +92,11 @@ public class SysCAMSTPortConverter extends SysCAMSTComponent { public int getRate() { return rate; } + + public int getNbits() { + return nbits; + } + public void setRate(int _rate) { rate = _rate; @@ -119,6 +126,10 @@ public class SysCAMSTPortConverter extends SysCAMSTComponent { return ConvType; } + public void setConvType(String _ConvType) { + ConvType = _ConvType; + } + public SysCAMSTBlockTDF getBlockTDF() { return blockTDF; } diff --git a/src/main/java/syscamstranslator/SysCAMSTPortDE.java b/src/main/java/syscamstranslator/SysCAMSTPortDE.java index 961db9e4e08a851feb59bb8e1ac5cc10ec3eba2e..22633316eac89fdb83942997db4e49794fab0d43 100644 --- a/src/main/java/syscamstranslator/SysCAMSTPortDE.java +++ b/src/main/java/syscamstranslator/SysCAMSTPortDE.java @@ -56,6 +56,7 @@ public class SysCAMSTPortDE extends SysCAMSTComponent { // private int rate; // private int delay; private int origin; + private int nbits; private String DEType; private boolean sensitive; private String sensitiveMethod; @@ -65,52 +66,56 @@ public class SysCAMSTPortDE extends SysCAMSTComponent { private ELNTCluster cluster; private ELNTModule module; - public SysCAMSTPortDE(String _name, int _origin, String _DEType, boolean _sensitive, String _sensitiveMethod, SysCAMSTBlockDE _blockDE) { + public SysCAMSTPortDE(String _name, int _origin, int _nbits, String _DEType, boolean _sensitive, String _sensitiveMethod, SysCAMSTBlockDE _blockDE) { name = _name; // period = _period; // time = _time; // rate = _rate; // delay = _delay; origin = _origin; + nbits= _nbits; DEType = _DEType; sensitive = _sensitive; sensitiveMethod = _sensitiveMethod; blockDE = _blockDE; } - public SysCAMSTPortDE(String _name, int _origin, String _DEType, boolean _sensitive, String _sensitiveMethod, SysCAMSTBlockGPIO2VCI _blockGPIO2VCI) { + public SysCAMSTPortDE(String _name, int _origin, int _nbits, String _DEType, boolean _sensitive, String _sensitiveMethod, SysCAMSTBlockGPIO2VCI _blockGPIO2VCI) { name = _name; // period = _period; // time = _time; // rate = _rate; // delay = _delay; - origin = _origin; + origin = _origin; + nbits= _nbits; DEType = _DEType; sensitive = _sensitive; sensitiveMethod = _sensitiveMethod; blockGPIO2VCI = _blockGPIO2VCI; } - public SysCAMSTPortDE(String _name, int _origin, String _DEType, boolean _sensitive, String _sensitiveMethod, ELNTCluster _cluster) { + public SysCAMSTPortDE(String _name, int _origin, int _nbits, String _DEType, boolean _sensitive, String _sensitiveMethod, ELNTCluster _cluster) { name = _name; // period = _period; // time = _time; // rate = _rate; // delay = _delay;ELNTCluster origin = _origin; + nbits= _nbits; DEType = _DEType; sensitive = _sensitive; sensitiveMethod = _sensitiveMethod; cluster = _cluster; } - public SysCAMSTPortDE(String _name, int _origin, String _DEType, boolean _sensitive, String _sensitiveMethod, ELNTModule _module) { + public SysCAMSTPortDE(String _name, int _origin, int _nbits, String _DEType, boolean _sensitive, String _sensitiveMethod, ELNTModule _module) { name = _name; // period = _period; // time = _time; // rate = _rate; // delay = _delay;ELNTCluster origin = _origin; + nbits= _nbits; DEType = _DEType; sensitive = _sensitive; sensitiveMethod = _sensitiveMethod; @@ -141,10 +146,18 @@ public class SysCAMSTPortDE extends SysCAMSTComponent { return origin; } + public int getNbits() { + return nbits; + } + public String getDEType() { return DEType; } + public void setDEType(String _DEType) { + DEType = _DEType; + } + public boolean getSensitive() { return sensitive; } @@ -168,4 +181,4 @@ public class SysCAMSTPortDE extends SysCAMSTComponent { public ELNTModule getModule() { return module; } -} \ No newline at end of file +} diff --git a/src/main/java/syscamstranslator/toSysCAMS/ClusterCode.java b/src/main/java/syscamstranslator/toSysCAMS/ClusterCode.java index 9eee38a252b01ca8c7f48d9e8666377fe80c5677..892641f459c874b67a330ebc739a3c956f4d5314 100644 --- a/src/main/java/syscamstranslator/toSysCAMS/ClusterCode.java +++ b/src/main/java/syscamstranslator/toSysCAMS/ClusterCode.java @@ -58,164 +58,209 @@ import syscamstranslator.*; */ public class ClusterCode { - static private String corpsCluster; - private final static String CR = "\n"; - private final static String CR2 = "\n\n"; - - ClusterCode() {} - - public static String getClusterCode(SysCAMSTCluster cluster, LinkedList<SysCAMSTConnector> connectors) { - int nb_con = 1; - int nb_block = 1; - - LinkedList<String> names = new LinkedList<String>(); - - if (cluster != null) { - LinkedList<SysCAMSTBlockTDF> tdf = cluster.getBlockTDF(); - LinkedList<SysCAMSTBlockDE> de = cluster.getBlockDE(); - - corpsCluster = "// Simulation entry point." + CR + "int sc_main(int argc, char *argv[]) {" + CR2 - + "\tusing namespace sc_core;" + CR + "\tusing namespace sca_util;" + CR2; - - corpsCluster = corpsCluster + "\t// Declare signal to interconnect." + CR; - - for (SysCAMSTConnector c : connectors) { - if ((c.get_p1().getComponent() instanceof SysCAMSTPortTDF && c.get_p2().getComponent() instanceof SysCAMSTPortTDF) - || (c.get_p1().getComponent() instanceof SysCAMSTPortTDF && c.get_p2().getComponent() instanceof SysCAMSTPortTDF)) { - if (c.getName().equals("")) { - corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortTDF) c.get_p1().getComponent()).getTDFType() + "> " - + "sig_" + nb_con + ";" + CR; - names.add("sig_" + nb_con); - nb_con++; - } else { - corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortTDF) c.get_p1().getComponent()).getTDFType() + "> " - + c.getName() + ";" + CR; - names.add(c.getName()); - } - } else if ((c.get_p1().getComponent() instanceof SysCAMSTPortConverter && c.get_p2().getComponent() instanceof SysCAMSTPortDE)) { - if (c.getName().equals("")) { - corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortConverter) c.get_p1().getComponent()).getConvType() + "> " - + "sig_" + nb_con + ";" + CR; - names.add("sig_" + nb_con); - nb_con++; - } else { - corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortConverter) c.get_p1().getComponent()).getConvType() + "> " - + c.getName() + ";" + CR; - names.add(c.getName()); - } - } else if ((c.get_p2().getComponent() instanceof SysCAMSTPortConverter && c.get_p1().getComponent() instanceof SysCAMSTPortDE)) { - if (c.getName().equals("")) { - corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortConverter) c.get_p2().getComponent()).getConvType() + "> " - + "sig_" + nb_con + ";" + CR; - names.add("sig_" + nb_con); - nb_con++; - } else { - corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortConverter) c.get_p2().getComponent()).getConvType() + "> " - + c.getName() + ";" + CR; - names.add(c.getName()); - } - } else if ((c.get_p1().getComponent() instanceof SysCAMSTPortDE && c.get_p2().getComponent() instanceof SysCAMSTPortDE) - || (c.get_p2().getComponent() instanceof SysCAMSTPortDE && c.get_p1 ().getComponent() instanceof SysCAMSTPortDE)) { - if (c.getName().equals("")) { - corpsCluster = corpsCluster + "\tsc_core::sc_signal<" + ((SysCAMSTPortDE) c.get_p1().getComponent()).getDEType() + "> " - + "sig_" + nb_con + ";" + CR; - names.add("sig_" + nb_con); - nb_con++; - } else { - corpsCluster = corpsCluster + "\tsc_core::sc_signal<" + ((SysCAMSTPortDE) c.get_p1().getComponent()).getDEType() + "> " - + c.getName() + ";" + CR; - names.add(c.getName()); - } - } - } + static private String corpsCluster; + private final static String CR = "\n"; + private final static String CR2 = "\n\n"; - corpsCluster = corpsCluster + CR + "\t// Instantiate headers files as well as bind their ports to the signal." + CR; + ClusterCode() {} - for (SysCAMSTBlockTDF t : tdf) { - corpsCluster = corpsCluster + "\t" + t.getName() + " " + t.getName() + "_" + nb_block + "(\"" + t.getName() + "_" + nb_block + "\");" + CR; + public static String getClusterCode(SysCAMSTCluster cluster, LinkedList<SysCAMSTConnector> connectors) { + int nb_con = 1; + int nb_block = 1; - LinkedList<SysCAMSTPortTDF> portTDF = t.getPortTDF(); - LinkedList<SysCAMSTPortConverter> portConv = t.getPortConverter(); + LinkedList<String> names = new LinkedList<String>(); - for (SysCAMSTPortTDF p : portTDF) { - for (int i = 0; i < connectors.size(); i++) { - if (connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortTDF && connectors.get(i).get_p2().getComponent() instanceof SysCAMSTPortTDF) { - if (((SysCAMSTPortTDF) connectors.get(i).get_p1().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortTDF) connectors.get(i).get_p1().getComponent()).getBlockTDF().getName().equals(t.getName())) { - corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; - } else if (((SysCAMSTPortTDF) connectors.get(i).get_p2().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortTDF) connectors.get(i).get_p2().getComponent()).getBlockTDF().getName().equals(t.getName())) { - corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; - } - } - } - } + if (cluster != null) { + LinkedList<SysCAMSTBlockTDF> tdf = cluster.getBlockTDF(); + LinkedList<SysCAMSTBlockDE> de = cluster.getBlockDE(); + LinkedList<SysCAMSTClock> clock = cluster.getClock(); + + + corpsCluster = "// Simulation entry point." + CR + "int sc_main(int argc, char *argv[]) {" + CR2 + + "\tusing namespace sc_core;" + CR + "\tusing namespace sca_util;" + CR2; + + corpsCluster = corpsCluster + "\t// Declare signals to interconnect." + CR; + + for (SysCAMSTConnector c : connectors) { + if ((c.get_p1().getComponent() instanceof SysCAMSTPortTDF && c.get_p2().getComponent() instanceof SysCAMSTPortTDF) + || (c.get_p1().getComponent() instanceof SysCAMSTPortTDF && c.get_p2().getComponent() instanceof SysCAMSTPortTDF)) { + if (c.getName().equals("")) { + corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortTDF) c.get_p1().getComponent()).getTDFType() + " > " + + "sig_" + nb_con + ";" + CR; + names.add("sig_" + nb_con); + nb_con++; + } else { + corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortTDF) c.get_p1().getComponent()).getTDFType() + "> " + + c.getName() + ";" + CR; + names.add(c.getName()); + } + } else if ((c.get_p1().getComponent() instanceof SysCAMSTPortConverter && c.get_p2().getComponent() instanceof SysCAMSTPortDE)) { + if (c.getName().equals("")) { + corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortConverter) c.get_p1().getComponent()).getConvType() + "> " + + "sig_" + nb_con + ";" + CR; + names.add("sig_" + nb_con); + nb_con++; + } else{ + + if(((SysCAMSTPortConverter) c.get_p1().getComponent()).getNbits()==0) + { + corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortConverter) c.get_p1().getComponent()).getConvType() + "> " + + c.getName() + ";" + CR; + names.add(c.getName()); + } + + else{//sc_uint + corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortConverter) c.get_p1().getComponent()).getConvType()+ "<"+ ((SysCAMSTPortConverter) c.get_p1().getComponent()).getNbits()+"> " + "> " + + c.getName() + ";" + CR; + names.add(c.getName()); + } + + + + } + } else if ((c.get_p2().getComponent() instanceof SysCAMSTPortConverter && c.get_p1().getComponent() instanceof SysCAMSTPortDE)) { + if (c.getName().equals("")) { + corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortConverter) c.get_p2().getComponent()).getConvType() + " > " + + "sig_" + nb_con + ";" + CR; + names.add("sig_" + nb_con); + nb_con++; + } else { + if( ((SysCAMSTPortConverter) c.get_p2().getComponent()).getNbits()==0 ) + { + corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortConverter) c.get_p2().getComponent()).getConvType() + "> " + + c.getName() + ";" + CR; + names.add(c.getName()); + } + + else{//sc_uint + corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortConverter) c.get_p2().getComponent()).getConvType()+ "<"+((SysCAMSTPortConverter) c.get_p2().getComponent()).getNbits() + "> > " + + c.getName() + ";" + CR; + names.add(c.getName()); + } + + } + } else if ((c.get_p1().getComponent() instanceof SysCAMSTPortDE && c.get_p2().getComponent() instanceof SysCAMSTPortDE) + || (c.get_p2().getComponent() instanceof SysCAMSTPortDE && c.get_p1 ().getComponent() instanceof SysCAMSTPortDE)) { + if (c.getName().equals("")) { + corpsCluster = corpsCluster + "\tsc_core::sc_signal<" + ((SysCAMSTPortDE) c.get_p1().getComponent()).getDEType() + "> " + + "sig_" + nb_con + ";" + CR; + names.add("sig_" + nb_con); + nb_con++; + } else { + + if(((SysCAMSTPortDE) c.get_p2().getComponent()).getNbits()==0 ) + { + corpsCluster = corpsCluster + "\tsc_core::sc_signal<" + ((SysCAMSTPortDE) c.get_p1().getComponent()).getDEType() + "> " + + c.getName() + ";" + CR; + names.add(c.getName()); + } + + else{ + corpsCluster = corpsCluster + "\tsc_core::sc_signal<" + ((SysCAMSTPortDE) c.get_p1().getComponent()).getDEType()+"<" + ((SysCAMSTPortDE) c.get_p2().getComponent()).getNbits()+ "> > " + + c.getName() + ";" + CR; + names.add(c.getName()); + } + + } + } + } - for (SysCAMSTPortConverter p : portConv) { - for (int i = 0; i < connectors.size(); i++) { - if (connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortConverter && connectors.get(i).get_p2().getComponent() instanceof SysCAMSTPortDE) { - if (((SysCAMSTPortConverter) connectors.get(i).get_p1().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortConverter) connectors.get(i).get_p1().getComponent()).getBlockTDF().getName().equals(t.getName())) { - corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; - } else if (((SysCAMSTPortDE) connectors.get(i).get_p2().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortDE) connectors.get(i).get_p2().getComponent()).getBlockDE().getName().equals(t.getName())) { - corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; - } - } else if (connectors.get(i).get_p2().getComponent() instanceof SysCAMSTPortConverter && connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortDE) { - if (((SysCAMSTPortConverter) connectors.get(i).get_p2().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortConverter) connectors.get(i).get_p2().getComponent()).getBlockTDF().getName().equals(t.getName())) { - corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; - } else if (((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getBlockDE().getName().equals(t.getName())) { - corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; - } - } - } + corpsCluster = corpsCluster + CR + "\t// Instantiate clocks." + CR; + + for (SysCAMSTClock t : clock) { + + corpsCluster = corpsCluster + "\t sc_clock " + t.getName() + " (\"" + t.getName() + "\"," + t.getFrequency()+","+ t.getUnit()+","+ t.getDutyCycle()+","+ t.getStartTime()+","+ t.getUnitStartTime()+","+ t.getPosFirst()+");" + CR; + } + + corpsCluster = corpsCluster + CR + "\t// Instantiate headers files as well as bind their ports to the signal." + CR; + + + for (SysCAMSTBlockTDF t : tdf) { + corpsCluster = corpsCluster + "\t" + t.getName() + " " + t.getName() + "_" + nb_block + "(\"" + t.getName() + "_" + nb_block + "\");" + CR; + + LinkedList<SysCAMSTPortTDF> portTDF = t.getPortTDF(); + LinkedList<SysCAMSTPortConverter> portConv = t.getPortConverter(); + + for (SysCAMSTPortTDF p : portTDF) { + for (int i = 0; i < connectors.size(); i++) { + if (connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortTDF && connectors.get(i).get_p2().getComponent() instanceof SysCAMSTPortTDF) { + if (((SysCAMSTPortTDF) connectors.get(i).get_p1().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortTDF) connectors.get(i).get_p1().getComponent()).getBlockTDF().getName().equals(t.getName())) { + corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; + } else if (((SysCAMSTPortTDF) connectors.get(i).get_p2().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortTDF) connectors.get(i).get_p2().getComponent()).getBlockTDF().getName().equals(t.getName())) { + corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; } - corpsCluster = corpsCluster + CR; - nb_block++; + } } + } - for (SysCAMSTBlockDE t : de) { - corpsCluster = corpsCluster + "\t" + t.getName() + " " + t.getName() + "_" + nb_block + "(\"" + t.getName() + "_" + nb_block + "\");" + CR; - - LinkedList<SysCAMSTPortDE> portDE = t.getPortDE(); - - for (SysCAMSTPortDE p : portDE) { - for (int i = 0; i < connectors.size(); i++) { - if (connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortDE && connectors.get(i).get_p2().getComponent() instanceof SysCAMSTPortDE) { - if (((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getBlockDE().getName().equals(t.getName())) { - corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; - } else if (((SysCAMSTPortDE) connectors.get(i).get_p2().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortDE) connectors.get(i).get_p2().getComponent()).getBlockDE().getName().equals(t.getName())) { - corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; - } - } else if (connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortConverter && connectors.get(i).get_p2().getComponent() instanceof SysCAMSTPortDE) { - if (((SysCAMSTPortConverter) connectors.get(i).get_p1().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortConverter) connectors.get(i).get_p1().getComponent()).getBlockTDF().getName().equals(t.getName())) { - corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; - } else if (((SysCAMSTPortDE) connectors.get(i).get_p2().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortDE) connectors.get(i).get_p2().getComponent()).getBlockDE().getName().equals(t.getName())) { - corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; - } - } else if (connectors.get(i).get_p2().getComponent() instanceof SysCAMSTPortConverter && connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortDE) { - if (((SysCAMSTPortConverter) connectors.get(i).get_p2().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortConverter) connectors.get(i).get_p2().getComponent()).getBlockTDF().getName().equals(t.getName())) { - corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; - } else if (((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getBlockDE().getName().equals(t.getName())) { - corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; - } - } - } + for (SysCAMSTPortConverter p : portConv) { + for (int i = 0; i < connectors.size(); i++) { + if (connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortConverter && connectors.get(i).get_p2().getComponent() instanceof SysCAMSTPortDE) { + if (((SysCAMSTPortConverter) connectors.get(i).get_p1().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortConverter) connectors.get(i).get_p1().getComponent()).getBlockTDF().getName().equals(t.getName())) { + corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; + } else if (((SysCAMSTPortDE) connectors.get(i).get_p2().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortDE) connectors.get(i).get_p2().getComponent()).getBlockDE().getName().equals(t.getName())) { + corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; + } + } else if (connectors.get(i).get_p2().getComponent() instanceof SysCAMSTPortConverter && connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortDE) { + if (((SysCAMSTPortConverter) connectors.get(i).get_p2().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortConverter) connectors.get(i).get_p2().getComponent()).getBlockTDF().getName().equals(t.getName())) { + corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; + } else if (((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getBlockDE().getName().equals(t.getName())) { + corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; } - corpsCluster = corpsCluster + CR; - nb_block++; + } } + } + corpsCluster = corpsCluster + CR; + nb_block++; + } - corpsCluster = corpsCluster + "\t// Configure signal tracing." + CR - + "\tsca_trace_file* tfp = sca_create_tabular_trace_file(\"" + cluster.getClusterName() + "_tb\");" + CR; + for (SysCAMSTBlockDE t : de) { + corpsCluster = corpsCluster + "\t" + t.getName() + " " + t.getName() + "_" + nb_block + "(\"" + t.getName() + "_" + nb_block + "\");" + CR; + LinkedList<SysCAMSTPortDE> portDE = t.getPortDE(); + + for (SysCAMSTPortDE p : portDE) { for (int i = 0; i < connectors.size(); i++) { - corpsCluster = corpsCluster + "\tsca_trace(tfp, "+ names.get(i) + ", \"" + names.get(i) + "\");" + CR; + if (connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortDE && connectors.get(i).get_p2().getComponent() instanceof SysCAMSTPortDE) { + if (((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getBlockDE().getName().equals(t.getName())) { + corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; + } else if (((SysCAMSTPortDE) connectors.get(i).get_p2().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortDE) connectors.get(i).get_p2().getComponent()).getBlockDE().getName().equals(t.getName())) { + corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; + } + } else if (connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortConverter && connectors.get(i).get_p2().getComponent() instanceof SysCAMSTPortDE) { + if (((SysCAMSTPortConverter) connectors.get(i).get_p1().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortConverter) connectors.get(i).get_p1().getComponent()).getBlockTDF().getName().equals(t.getName())) { + corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; + } else if (((SysCAMSTPortDE) connectors.get(i).get_p2().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortDE) connectors.get(i).get_p2().getComponent()).getBlockDE().getName().equals(t.getName())) { + corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; + } + } else if (connectors.get(i).get_p2().getComponent() instanceof SysCAMSTPortConverter && connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortDE) { + if (((SysCAMSTPortConverter) connectors.get(i).get_p2().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortConverter) connectors.get(i).get_p2().getComponent()).getBlockTDF().getName().equals(t.getName())) { + corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; + } else if (((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getName().equals(p.getName()) && ((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getBlockDE().getName().equals(t.getName())) { + corpsCluster = corpsCluster + "\t" + t.getName() + "_" + nb_block + "." + p.getName() + "(" + names.get(i) + ");" + CR; + } + } } - corpsCluster = corpsCluster + CR + "\t// Start simulation." + CR + "\tsc_start(100.0, SC_MS);" + CR2 - + "\t// Close trace file and stop simulation to enable clean-up by" + CR - + "\t// asking SystemC to execute all end_of_simulation() callbacks." + CR - + "\tsca_close_tabular_trace_file(tfp);" + CR - + "\tsc_stop();" + CR + "\treturn 0;" + CR + "}" + CR2; - } else { - corpsCluster = ""; + } + corpsCluster = corpsCluster + CR; + nb_block++; + } + + corpsCluster = corpsCluster + "\t// Configure signal tracing." + CR + + "\tsca_trace_file* tfp = sca_create_tabular_trace_file(\"" + cluster.getClusterName() + "_tb\");" + CR; + + for (int i = 0; i < connectors.size(); i++) { + corpsCluster = corpsCluster + "\tsca_trace(tfp, "+ names.get(i) + ", \"" + names.get(i) + "\");" + CR; } - return corpsCluster; + corpsCluster = corpsCluster + CR + "\t// Start simulation." + CR + "\tsc_start(100.0, SC_MS);" + CR2 + + "\t// Close trace file and stop simulation to enable clean-up by" + CR + + "\t// asking SystemC to execute all end_of_simulation() callbacks." + CR + + "\tsca_close_tabular_trace_file(tfp);" + CR + + "\tsc_stop();" + CR + "\treturn 0;" + CR + "}" + CR2; + } else { + corpsCluster = ""; + } + + return corpsCluster; } } diff --git a/src/main/java/syscamstranslator/toSysCAMS/Header.java b/src/main/java/syscamstranslator/toSysCAMS/Header.java index c5a14792643758157ea7f9e114eeb9566bc7144f..14f1efd8c622f64abf7a9bacb911f58b58d33df1 100644 --- a/src/main/java/syscamstranslator/toSysCAMS/Header.java +++ b/src/main/java/syscamstranslator/toSysCAMS/Header.java @@ -71,7 +71,7 @@ public class Header { if (tdf != null) { headerPrimitiveTDF = "#ifndef " + tdf.getName().toUpperCase() + "_H"+ CR + "#define " + tdf.getName().toUpperCase() + "_H" + CR2 - + "#include <cmath>" + CR + "#include <iostream>" + CR + "#include <systemc-ams>" + CR2; + + "#include <cmath>" + CR + "#include <iostream>" + CR + "#include <systemc-ams.h>" + CR2; } else { headerPrimitiveTDF = ""; } @@ -91,17 +91,25 @@ public class Header { public static String getClusterHeader(SysCAMSTCluster cluster) { if (cluster != null) { - LinkedList<SysCAMSTBlockTDF> blocks = cluster.getBlockTDF(); - - headerCluster = "#include <systemc-ams>" + CR; + headerCluster = "#include <systemc-ams.h>" + CR; + LinkedList<SysCAMSTBlockTDF> blocks = cluster.getBlockTDF(); for (SysCAMSTBlockTDF b : blocks) { - headerCluster = headerCluster + "#include \"" + b.getName() + ".h\"" + CR; + headerCluster = headerCluster + "#include \"generated_H/" + b.getName() + ".h\"" + CR;//modification DG + } + + //ajoute DG + LinkedList<SysCAMSTBlockDE> blocksDE = cluster.getBlockDE(); + + for (SysCAMSTBlockDE b : blocksDE) { + headerCluster = headerCluster + "#include \"generated_H/" + b.getName() + ".h\"" + CR;//DG } + headerCluster = headerCluster + CR; } else { headerCluster = ""; } + return headerCluster; - } + } } diff --git a/src/main/java/syscamstranslator/toSysCAMS/MakefileCode.java b/src/main/java/syscamstranslator/toSysCAMS/MakefileCode.java index 6080c5e4dd30844b5e12be61e623727f1f168627..e9ca713066f640ce01c3d618c6dd39e4358fd0c0 100644 --- a/src/main/java/syscamstranslator/toSysCAMS/MakefileCode.java +++ b/src/main/java/syscamstranslator/toSysCAMS/MakefileCode.java @@ -54,6 +54,8 @@ import syscamstranslator.*; * * @version 1.0 02/06/2018 * @author Irina Kit Yan LEE + * @version 1.1 10/07/2019 + * @author Daniela GENIUS */ public class MakefileCode { @@ -93,11 +95,11 @@ public class MakefileCode { + clusters.get(i).getClusterName() + "_tb.cpp"; for (SysCAMSTBlockTDF t : tdf) { - corpsMakefile = corpsMakefile + " " + t.getName() + ".h"; + corpsMakefile = corpsMakefile+ " generated_H/" + t.getName() + ".h"; } for (SysCAMSTBlockDE t : de) { - corpsMakefile = corpsMakefile + " " + t.getName() + ".h"; + corpsMakefile = corpsMakefile + " generated_H/" + t.getName() + ".h"; } corpsMakefile = corpsMakefile + CR + "\t$(CXX) $(CXXFLAGS) $(LDFLAGS) -o $@ $< -lsystemc-ams -lsystemc | c++filt" + CR2; @@ -110,4 +112,4 @@ public class MakefileCode { } return corpsMakefile; } -} \ No newline at end of file +} diff --git a/src/main/java/syscamstranslator/toSysCAMS/PrimitiveCode.java b/src/main/java/syscamstranslator/toSysCAMS/PrimitiveCode.java index 03552b4092f251ceeabe8f429f9be362d2a813ee..45e8d5c8baa72863edeb64476e93cce850a8458c 100644 --- a/src/main/java/syscamstranslator/toSysCAMS/PrimitiveCode.java +++ b/src/main/java/syscamstranslator/toSysCAMS/PrimitiveCode.java @@ -38,11 +38,6 @@ * knowledge of the CeCILL license and that you accept its terms. */ -/* this class produces the lines containing essentially the initial #includes; we include all potential components event if they are not used in the deployment diagram*/ - -/* authors: v1.0 Raja GATGOUT 2014 - v2.0 Daniela GENIUS, Julien HENON 2015 */ - package syscamstranslator.toSysCAMS; import java.util.LinkedList; @@ -55,6 +50,8 @@ import syscamstranslator.*; * Creation: 14/05/2018 * @version 1.0 14/05/2018 * @author Irina Kit Yan LEE + * @version 1.1 12/07/2019 + * @author Irina Kit Yan LEE, Daniela GENIUS */ public class PrimitiveCode { @@ -67,7 +64,7 @@ public class PrimitiveCode { public static String getPrimitiveCodeTDF(SysCAMSTBlockTDF tdf) { corpsPrimitiveTDF = ""; - + System.out.println("TDF block"); if (tdf != null) { LinkedList<SysCAMSTPortTDF> tdfports = tdf.getPortTDF(); LinkedList<SysCAMSTPortConverter> convports = tdf.getPortConverter(); @@ -131,30 +128,49 @@ public class PrimitiveCode { corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t, " + identifier + "(" + value + ")" + CR; } if (i == tdf.getListStruct().getSize()-1 && i != 0) { - corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t, " + identifier + "(" + value + ")" + CR + "\t\t{}" + CR; + // corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t, " + identifier + "(" + value + ")" + CR + "\t\t{}" + CR; + corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t, " + identifier + "(" + value + ")" + CR; } else { - corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t{}" + CR; + //corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t{}" + CR; } } + corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t{}" + CR;//correction DG corpsPrimitiveTDF = corpsPrimitiveTDF + "\t};" + CR2; } if (!tdfports.isEmpty()) { for (SysCAMSTPortTDF t : tdfports) { if (t.getOrigin() == 0) { - corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_in<" + t.getTDFType() + "> " + t.getName() + ";" + CR; + corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_in <" + t.getTDFType() + "> " + t.getName() + ";" + CR; } else if (t.getOrigin() == 1) { - corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_out<" + t.getTDFType() + "> " + t.getName() + ";" + CR; + corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_out <" + t.getTDFType() + "> " + t.getName() + ";" + CR; } } } + // System.out.println("@@@@@ Conv ports empty?"); if (!convports.isEmpty()) { + // System.out.println("@@@@@ Conv ports non empty"); for (SysCAMSTPortConverter conv : convports) { - if (conv.getOrigin() == 0) { - corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_de::sca_in<" + conv.getConvType() + "> " + conv.getName() + ";" + CR; + + // if(conv.getConvType() !="sc_uint") { + if(conv.getNbits()==0){ + if (conv.getOrigin() == 0) { + corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_de::sca_in <" + conv.getConvType()+"> " + conv.getName() + ";" + CR; + + } else if (conv.getOrigin() == 1) { + corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_de::sca_out <" + conv.getConvType()+"> "+ conv.getName() + ";" + CR; + } + } + + else { + if (conv.getOrigin() == 0) { + corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_de::sca_in <" + conv.getConvType()+"<" + conv.getNbits()+"> > " + conv.getName() + ";" + CR; + } else if (conv.getOrigin() == 1) { - corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_de::sca_out<" + conv.getConvType() + "> " + conv.getName() + ";" + CR; + corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_de::sca_out <" + conv.getConvType()+"<" + conv.getNbits()+"> > "+ conv.getName() + ";" + CR; } + } + } } @@ -379,7 +395,7 @@ public class PrimitiveCode { public static String getPrimitiveCodeDE(SysCAMSTBlockDE de) { corpsPrimitiveDE = ""; - + System.out.println("DE block"); if (de != null) { LinkedList<SysCAMSTPortDE> deports = de.getPortDE(); int cpt = 0; @@ -441,23 +457,50 @@ public class PrimitiveCode { if ((i > 0) && (i < de.getListStruct().getSize()-1)) { corpsPrimitiveDE = corpsPrimitiveDE + "\t\t, " + identifier + "(" + value + ")" + CR; } - if (i == de.getListStruct().getSize()-1 && i != 0) { - corpsPrimitiveDE = corpsPrimitiveDE + "\t\t, " + identifier + "(" + value + ")" + CR + "\t\t{}" + CR; - } else { - corpsPrimitiveDE = corpsPrimitiveDE + "\t\t{}" + CR; - } + // if (i == de.getListStruct().getSize()-1 && i != 0) { + corpsPrimitiveDE = corpsPrimitiveDE + "\t\t, " + identifier + "(" + value + ")" + CR;//DG + // } else { + // corpsPrimitiveDE = corpsPrimitiveDE + "\t\t{}" + CR; + // } } + corpsPrimitiveDE = corpsPrimitiveDE + "\t\t{}" + CR;//correction DG corpsPrimitiveDE = corpsPrimitiveDE + "\t};" + CR2; } + //DG modified, was sca:core + //System.out.println("@@@@@@@@@DE ports empty?"); if (!deports.isEmpty()) { + //System.out.println("@@@@@@@@@DE ports non empty"); for (SysCAMSTPortDE t : deports) { - if (t.getOrigin() == 0) { - corpsPrimitiveDE = corpsPrimitiveDE + "\tsca_core::sca_in<" + t.getDEType() + "> " + t.getName() + ";" + CR; + + + if(t.getNbits()==0) + { if (t.getOrigin() == 0) { + corpsPrimitiveDE = corpsPrimitiveDE + "\tsc_core::sc_in <" + t.getDEType() + ">" + t.getName() + ";" + CR; + + //System.out.println("@@@@@@@@@2DE "+t.getDEType()+t.getNbits()); } else if (t.getOrigin() == 1) { - corpsPrimitiveDE = corpsPrimitiveDE + "\tsca_core::sca_out<" + t.getDEType() + "> " + t.getName() + ";" + CR; + corpsPrimitiveDE = corpsPrimitiveDE + "\tsc_core::sc_out <" + t.getDEType() + "> "+ t.getName() + ";" + CR; + + //System.out.println("@@@@@@@@@2DE "+t.getDEType()+t.getNbits()); } } + else { + +if (t.getOrigin() == 0) { + corpsPrimitiveDE = corpsPrimitiveDE + "\tsc_core::sc_in <" + t.getDEType() + "<"+t.getNbits()+"> > " + t.getName() + ";" + CR; + + //System.out.println("@@@@@@@@@2DE "+t.getDEType()+t.getNbits()); + } else if (t.getOrigin() == 1) { + corpsPrimitiveDE = corpsPrimitiveDE + "\tsc_core::sc_out <" + t.getDEType() + "<"+t.getNbits() +"> > "+ t.getName() + ";" + CR; + + //System.out.println("@@@@@@@@@2DE "+t.getDEType()+t.getNbits()); + } + + + } + + } } corpsPrimitiveDE = corpsPrimitiveDE + CR + "\tSC_HAS_PROCESS(" + de.getName() + ");" + CR + @@ -533,8 +576,10 @@ public class PrimitiveCode { corpsPrimitiveDE = corpsPrimitiveDE + "\t{}" + CR2; } - corpsPrimitiveDE = corpsPrimitiveDE + "private:" + CR; - + corpsPrimitiveDE = corpsPrimitiveDE + "private:" + CR +CR; + if(de.getClockName()!=""){ + corpsPrimitiveDE = corpsPrimitiveDE +"sc_in<bool> "+de.getClockName()+";"+CR; + } if (de.getListStruct().getSize() != 0) { String identifier, type, constant; for (int i = 0; i < de.getListStruct().size(); i++) { diff --git a/src/main/java/syscamstranslator/toSysCAMS/TopCellGenerator.java b/src/main/java/syscamstranslator/toSysCAMS/TopCellGenerator.java index 7bb2dc6ebefaa6cbb2480b21a17bfc721d5d555e..64b646d1724f4a674d5e501926608704f95f6d90 100644 --- a/src/main/java/syscamstranslator/toSysCAMS/TopCellGenerator.java +++ b/src/main/java/syscamstranslator/toSysCAMS/TopCellGenerator.java @@ -56,6 +56,8 @@ import java.util.LinkedList; * Creation: 14/05/2018 * @version 1.0 14/05/2018 * @author Irina Kit Yan LEE + * @version 1.1 12/07/2018 + * @author Irina Kit Yan LEE, Daniela GENIUS */ public class TopCellGenerator { @@ -105,13 +107,9 @@ public class TopCellGenerator { try { // Save file .cpp System.err.println(path + GENERATED_PATH1 + cluster.getClusterName() + ".cpp"); - System.err.println(path + cluster.getClusterName() + ".cpp"); - if(standalone==true){ - //System.out.println("@@@@ topcell standalone @@@@"); - fw = new FileWriter(path + "/" + cluster.getClusterName() + "_tb.cpp");} - else{ + System.err.println(path + cluster.getClusterName() + ".cpp"); fw = new FileWriter(path + GENERATED_PATH1 + "/" + cluster.getClusterName() + "_tb.cpp"); - } + //} fw = new FileWriter(path + "/" + cluster.getClusterName() + "_tb.cpp"); top = generateTopCell(cluster, connectors); fw.write(top); @@ -132,18 +130,13 @@ public class TopCellGenerator { for (SysCAMSTBlockTDF t : tdf) { try { System.err.println(path + GENERATED_PATH2 + t.getName() + ".h"); - System.err.println(path + t.getName() + ".h"); - if(standalone==true){ - //System.out.println("@@@@ TDF standalone @@@@"); - fw = new FileWriter(path + "/" + t.getName() + ".h");} - else + System.err.println(path + t.getName() + ".h"); fw = new FileWriter(path + GENERATED_PATH2 + "/" + t.getName() + ".h"); headerTDF = Header.getPrimitiveHeaderTDF(t); fw.write(headerTDF); codeTDF = PrimitiveCode.getPrimitiveCodeTDF(t); - // if(standalone==false) - // codeTDF = codeTDF + CR + "};" + CR2 + "#endif"; + fw.write(codeTDF); fw.close(); @@ -154,18 +147,13 @@ public class TopCellGenerator { for (SysCAMSTBlockDE t : de) { try { System.err.println(path + GENERATED_PATH2 + t.getName() + ".h"); - System.err.println(path + t.getName() + ".h");//ajoute DG - - if(standalone==true){ - //System.out.println("@@@@ DE standalone @@@@"); - fw = new FileWriter(path + "/" + t.getName() + ".h");} - else + System.err.println(path + t.getName() + ".h"); + fw = new FileWriter(path + GENERATED_PATH2 + "/" + t.getName() + ".h"); headerDE = Header.getPrimitiveHeaderDE(t); fw.write(headerDE); codeDE = PrimitiveCode.getPrimitiveCodeDE(t); - // if(standalone==false) - // codeDE = codeDE + CR + "};" + CR2 + "#endif";//DG + fw.write(codeDE); fw.close(); diff --git a/src/main/java/syscamstranslator/toSysCAMSCluster/ClusterCode.java b/src/main/java/syscamstranslator/toSysCAMSCluster/ClusterCode.java index d45c3e4a1731850f1b5c122c847975a60f7af262..8477757c5d8aed9909cf75b58da1aad183552d5f 100644 --- a/src/main/java/syscamstranslator/toSysCAMSCluster/ClusterCode.java +++ b/src/main/java/syscamstranslator/toSysCAMSCluster/ClusterCode.java @@ -74,7 +74,18 @@ public class ClusterCode { corpsCluster = "template <typename vci_param>" + CR + "class " +cluster.getClusterName()+ " : public sc_core::sc_module { "+ CR; - + + + + + for (SysCAMSTClock t : clock) { + System.out.println("Cluster clock"); + corpsCluster = corpsCluster + "\t sc_clock " + t.getName() + " (\"" + t.getName() + "\"," + t.getFrequency()+","+ t.getUnit()+","+ t.getDutyCycle()+","+ t.getStartTime()+","+ t.getUnit()+","+ t.getPosFirst()+");" + CR; + } + //ToDo 9.7.2019: add lines for reading (several) clock ports and sensitivity lists + + + for (SysCAMSTBlockTDF t : tdf) { if (!t.getListTypedef().isEmpty()) { for (int i = 0; i < t.getListTypedef().getSize(); i++) { @@ -301,7 +312,7 @@ public class ClusterCode { corpsCluster = corpsCluster + CR; nb_block++; } - + corpsCluster = corpsCluster + "\t}" + CR2; corpsCluster = corpsCluster + "\t// Configure signal tracing." + CR; diff --git a/src/main/java/syscamstranslator/toSysCAMSCluster/HeaderCluster.java b/src/main/java/syscamstranslator/toSysCAMSCluster/HeaderCluster.java index a5f7b5b97c014e21a40517e7118297e8b736be49..3718c9515dd21a42e32d20505cac839ec115bd75 100644 --- a/src/main/java/syscamstranslator/toSysCAMSCluster/HeaderCluster.java +++ b/src/main/java/syscamstranslator/toSysCAMSCluster/HeaderCluster.java @@ -68,7 +68,7 @@ public class HeaderCluster { if (tdf != null) { headerPrimitiveTDF = "#ifndef " + tdf.getName().toUpperCase() + "_TDF_H"+ CR + "#define " + tdf.getName().toUpperCase() + "_TDF_H" + CR2 - + "#include <cmath>" + CR + "#include <iostream>" + CR + "#include <systemc-ams>" + CR2; + + "#include <cmath>" + CR + "#include <iostream>" + CR + "#include <systemc-ams.h>" + CR2; } else { headerPrimitiveTDF = ""; } @@ -93,7 +93,7 @@ public class HeaderCluster { headerCluster = "#ifndef " + cluster.getClusterName().toUpperCase() + "_TDF_H"+ CR + "#define " + cluster.getClusterName().toUpperCase() + "_TDF_H" + CR2; - headerCluster += "#include <systemc-ams>" + CR; + headerCluster += "#include <systemc-ams.h>" + CR; for (SysCAMSTBlockTDF b : tdf) { headerCluster = headerCluster + "#include \"" + b.getName() + "_tdf.h\"" + CR; diff --git a/src/main/java/syscamstranslator/toSysCAMSCluster/PrimitiveCodeCluster.java b/src/main/java/syscamstranslator/toSysCAMSCluster/PrimitiveCodeCluster.java index e8a1b1e4f94ccc50f2f4a17d0a3f66e276a209d4..6c5508653e828077bbe710d25167b9054b14ac7f 100644 --- a/src/main/java/syscamstranslator/toSysCAMSCluster/PrimitiveCodeCluster.java +++ b/src/main/java/syscamstranslator/toSysCAMSCluster/PrimitiveCodeCluster.java @@ -38,11 +38,6 @@ * knowledge of the CeCILL license and that you accept its terms. */ -/* this class produces the lines containing essentially the initial #includes; we include all potential components event if they are not used in the deployment diagram*/ - -/* authors: v1.0 Raja GATGOUT 2014 - v2.0 Daniela GENIUS, Julien HENON 2015 */ - package syscamstranslator.toSysCAMSCluster; import java.util.LinkedList; @@ -55,6 +50,8 @@ import syscamstranslator.*; * Creation: 14/05/2018 * @version 1.0 14/05/2018 * @author Irina Kit Yan LEE + * @version 1.1 12/07/2019 + * @author Irina Kit Yan LEE, Daniela GENIUS */ public class PrimitiveCodeCluster { @@ -130,10 +127,11 @@ public class PrimitiveCodeCluster { if ((i > 0)) { corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t, " + identifier + "(" + value + ")" + CR; } - if (i == tdf.getListStruct().getSize()-1) { - corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t{}" + CR; - } + // if (i == tdf.getListStruct().getSize()-1) { + // corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t{}" + CR; + // }//deleted DG } + corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t{}" + CR;//moved DG corpsPrimitiveTDF = corpsPrimitiveTDF + "\t};" + CR2; } @@ -440,9 +438,9 @@ public class PrimitiveCodeCluster { if ((i > 0)) { corpsPrimitiveDE = corpsPrimitiveDE + "\t\t, " + identifier + "(" + value + ")" + CR; } - if (i == de.getListStruct().getSize()-1) { - corpsPrimitiveDE = corpsPrimitiveDE + "\t\t{}" + CR; - } + if (i == de.getListStruct().getSize()-1) { + corpsPrimitiveDE = corpsPrimitiveDE + "\t\t{}" + CR; + } } corpsPrimitiveDE = corpsPrimitiveDE + "\t};" + CR2; } @@ -533,6 +531,10 @@ public class PrimitiveCodeCluster { } corpsPrimitiveDE = corpsPrimitiveDE + "private:" + CR; + + if(de.getClockName()!=""){ + corpsPrimitiveDE = corpsPrimitiveDE +"sc_in<bool> "+de.getClockName()+";"+CR; + } if (de.getListStruct().getSize() != 0) { String identifier, type, constant; diff --git a/src/main/java/syscamstranslator/toSysCAMSCluster/TopCellGeneratorCluster.java b/src/main/java/syscamstranslator/toSysCAMSCluster/TopCellGeneratorCluster.java index b19109685986197382b2547516727067d684d040..132a4a05bf23b18c87bf8181f8de87bcba758577 100644 --- a/src/main/java/syscamstranslator/toSysCAMSCluster/TopCellGeneratorCluster.java +++ b/src/main/java/syscamstranslator/toSysCAMSCluster/TopCellGeneratorCluster.java @@ -38,11 +38,7 @@ knowledge of the CeCILL license and that you accept its terms. */ -/* Generator of the top cell for simulation with SoCLib virtual component - library */ - -/* authors: v1.0 Raja GATGOUT 2014 - v2.0 Daniela GENIUS, Julien HENON 2015 */ +/* Generator of the top cell for simulation with SystemC-AMS */ package syscamstranslator.toSysCAMSCluster; @@ -57,7 +53,9 @@ import java.util.LinkedList; * @version 1.0 14/05/2018 * @author Irina Kit Yan LEE * @version 1.1 30/07/2018 - * @author Rodrigo CORTES PORTO + * @author Irina Kit Yan LEE, Rodrigo CORTES PORTO + * @version 1.2 12/07/2019 + * @author Irina Kit Yan LEE, Rodrigo CORTES PORTO, Daniela GENIUS */ public class TopCellGeneratorCluster { @@ -76,25 +74,7 @@ public class TopCellGeneratorCluster { public String generateTopCell(SysCAMSTCluster c, LinkedList<SysCAMSTConnector> connectors) { if (c == null) { System.out.println("***Warning: require at least one cluster***"); - } - /*if (TopCellGeneratorCluster.syscams.getNbBlockTDF() == 0) { - System.out.println("***Warning: require at least one TDF block***"); - } - if (TopCellGeneratorCluster.syscams.getNbPortTDF() == 0) { - System.out.println("***Warning: require at least one TDF port***"); - } - if (TopCellGeneratorCluster.syscams.getNbBlockDE() == 0) { - System.out.println("***Warning: require at least one DE block***"); - } - if (TopCellGeneratorCluster.syscams.getNbPortDE() == 0) { - System.out.println("***Warning: require at least one DE port***"); - } - if (TopCellGeneratorCluster.syscams.getNbPortConverter() == 0) { - System.out.println("***Warning: require at least one converter port***"); - } - if (TopCellGeneratorCluster.syscams.getNbConnectorCluster() == 0) { - System.out.println("***Warning: require at least one connector***"); - }*/ + } String top = HeaderCluster.getClusterHeader(c) + ClusterCode.getClusterCode(c, connectors); return (top); } @@ -108,13 +88,8 @@ public class TopCellGeneratorCluster { try { // Save file .cpp System.err.println(path + GENERATED_PATH1 + cluster.getClusterName() + "_tdf.h"); - System.err.println(path + cluster.getClusterName() + "_tdf.h"); - if(standalone==true){ - //System.out.println("@@@ Cluster standalone"); - fw = new FileWriter(path + cluster.getClusterName() + "_tdf.h"); - } - else - fw = new FileWriter(path + GENERATED_PATH1 + "/" + cluster.getClusterName() + "_tdf.h"); + System.err.println(path + cluster.getClusterName() + "_tdf.h"); + fw = new FileWriter(path + GENERATED_PATH1 + "/" + cluster.getClusterName() + "_tdf.h"); top = generateTopCell(cluster, connectors); fw.write(top); @@ -137,13 +112,7 @@ public class TopCellGeneratorCluster { for (SysCAMSTBlockTDF t : tdf) { try { System.err.println(path + GENERATED_PATH2 + t.getName() + "_tdf.h"); - System.err.println(path + t.getName() + "_tdf.h"); - if(standalone==true){ - - fw = new FileWriter(path + "/" + t.getName() + "_tdf.h"); - } - - else + System.err.println(path + t.getName() + "_tdf.h"); fw = new FileWriter(path + GENERATED_PATH2 + "/" + t.getName() + "_tdf.h"); headerTDF = HeaderCluster.getPrimitiveHeaderTDF(t); fw.write(headerTDF); @@ -158,15 +127,9 @@ public class TopCellGeneratorCluster { } for (SysCAMSTBlockDE t : de) { try { - System.err.println(path + GENERATED_PATH2 + t.getName() + "_tdf.h"); System.err.println(path + GENERATED_PATH2 + t.getName() + "_tdf.h"); + System.err.println(path + GENERATED_PATH2 + t.getName() + "_tdf.h"); System.err.println(path + GENERATED_PATH2 + t.getName() + "_tdf.h"); - - if(standalone==true) - { - - fw = new FileWriter(path +"/" + t.getName() + "_tdf.h");} - else - fw = new FileWriter(path + GENERATED_PATH2 + "/" + t.getName() + "_tdf.h"); + fw = new FileWriter(path + GENERATED_PATH2 + "/" + t.getName() + "_tdf.h"); headerDE = HeaderCluster.getPrimitiveHeaderDE(t); fw.write(headerDE); diff --git a/src/main/java/syscamstranslator/toSysCAMSSoclib/ClusterCodeSoclib.java b/src/main/java/syscamstranslator/toSysCAMSSoclib/ClusterCodeSoclib.java index e4ad7d96fc5cd45f8e0b1a671ee774c0b63f2b95..8bd4b9d752e8fffe80d82361380cf93bf4ef1875 100644 --- a/src/main/java/syscamstranslator/toSysCAMSSoclib/ClusterCodeSoclib.java +++ b/src/main/java/syscamstranslator/toSysCAMSSoclib/ClusterCodeSoclib.java @@ -71,6 +71,8 @@ public class ClusterCodeSoclib { if (cluster != null) { LinkedList<SysCAMSTBlockTDF> tdf = cluster.getBlockTDF(); LinkedList<SysCAMSTBlockDE> de = cluster.getBlockDE(); + + LinkedList<SysCAMSTClock> clock = cluster.getClock(); System.out.println("Number of AMS connectors: " + connectors.size()); @@ -85,15 +87,15 @@ public class ClusterCodeSoclib { if ( !((connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortDE && ((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getBlockGPIO2VCI() != null) || (connectors.get(i).get_p2().getComponent() instanceof SysCAMSTPortDE && ((SysCAMSTPortDE) connectors.get(i).get_p2().getComponent()).getBlockGPIO2VCI() != null)) ) { if (connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortTDF) { - corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortTDF) connectors.get(i).get_p1().getComponent()).getTDFType() + "> " + corpsCluster = corpsCluster + "\tsca_tdf::sca_signal<" + ((SysCAMSTPortTDF) connectors.get(i).get_p1().getComponent()).getTDFType() + " > " + "sig_" + nb_con + ";" + CR; //nb_con++; } else if (connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortConverter) { - corpsCluster = corpsCluster + "\tsc_core::sc_signal<" + ((SysCAMSTPortConverter) connectors.get(i).get_p1().getComponent()).getConvType() + "> " + corpsCluster = corpsCluster + "\tsc_core::sc_signal<" + ((SysCAMSTPortConverter) connectors.get(i).get_p1().getComponent()).getConvType() + " > " + "sig_" + nb_con + ";" + CR; //nb_con++; } else if (connectors.get(i).get_p1().getComponent() instanceof SysCAMSTPortDE) { - corpsCluster = corpsCluster + "\tsc_core::sc_signal<" + ((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getDEType() + "> " + corpsCluster = corpsCluster + "\tsc_core::sc_signal<" + ((SysCAMSTPortDE) connectors.get(i).get_p1().getComponent()).getDEType() + " > " + "sig_" + nb_con + ";" + CR; //nb_con++; } @@ -101,6 +103,16 @@ public class ClusterCodeSoclib { } corpsCluster = corpsCluster + CR + "\t// Instantiate cluster's modules." + CR; + + + + for (SysCAMSTClock t : clock) { + corpsCluster = corpsCluster + "\t sc_clock " + t.getName() + " (\"" + t.getName() + "\"," + t.getFrequency()+","+ t.getUnit()+","+ t.getDutyCycle()+","+ t.getStartTime()+","+ t.getUnit()+","+ t.getPosFirst()+");" + CR; + } + //ToDo 9.7.2019: add lines for reading (several) clock ports and sensitivity lists + + + for (SysCAMSTBlockTDF t : tdf) { corpsCluster = corpsCluster + "\t" + t.getName() + " " + t.getName() + "_" + nb_block + ";" + CR; diff --git a/src/main/java/syscamstranslator/toSysCAMSSoclib/HeaderSoclib.java b/src/main/java/syscamstranslator/toSysCAMSSoclib/HeaderSoclib.java index 8185f6c5523aa81e36768a368c5237c28e68a4de..742ab633c8b0b2f5a96d0e59a9eb97e040ec7aaa 100644 --- a/src/main/java/syscamstranslator/toSysCAMSSoclib/HeaderSoclib.java +++ b/src/main/java/syscamstranslator/toSysCAMSSoclib/HeaderSoclib.java @@ -71,7 +71,7 @@ public class HeaderSoclib { if (tdf != null) { headerPrimitiveTDF = "#ifndef " + tdf.getName().toUpperCase() + "_TDF_H"+ CR + "#define " + tdf.getName().toUpperCase() + "_TDF_H" + CR2 - + "#include <cmath>" + CR + "#include <iostream>" + CR + "#include <systemc-ams>" + CR2; + + "#include <cmath>" + CR + "#include <iostream>" + CR + "#include <systemc-ams.h>" + CR2; } else { headerPrimitiveTDF = ""; } @@ -96,7 +96,7 @@ public class HeaderSoclib { headerCluster = "#ifndef " + cluster.getClusterName().toUpperCase() + "_TDF_H"+ CR + "#define " + cluster.getClusterName().toUpperCase() + "_TDF_H" + CR2; - headerCluster += "#include <systemc-ams>" + CR; + headerCluster += "#include <systemc-ams.h>" + CR; for (SysCAMSTBlockTDF b : tdf) { headerCluster = headerCluster + "#include \"" + b.getName() + "_tdf.h\"" + CR; diff --git a/src/main/java/syscamstranslator/toSysCAMSSoclib/PrimitiveCodeSoclib.java b/src/main/java/syscamstranslator/toSysCAMSSoclib/PrimitiveCodeSoclib.java index 55a4d75a0e6667b650a87a97499114ad73b23476..ce64a0b6cf0569338992b087f41afded6b85dbfa 100644 --- a/src/main/java/syscamstranslator/toSysCAMSSoclib/PrimitiveCodeSoclib.java +++ b/src/main/java/syscamstranslator/toSysCAMSSoclib/PrimitiveCodeSoclib.java @@ -38,11 +38,6 @@ * knowledge of the CeCILL license and that you accept its terms. */ -/* this class produces the lines containing essentially the initial #includes; we include all potential components event if they are not used in the deployment diagram*/ - -/* authors: v1.0 Raja GATGOUT 2014 - v2.0 Daniela GENIUS, Julien HENON 2015 */ - package syscamstranslator.toSysCAMSSoclib; import java.util.LinkedList; @@ -55,6 +50,8 @@ import syscamstranslator.*; * Creation: 14/05/2018 * @version 1.0 14/05/2018 * @author Irina Kit Yan LEE + * @version 1.0 12/07/2019 + * @author Irina Kit Yan LEE, Daniela GENIUS */ public class PrimitiveCodeSoclib { @@ -131,30 +128,49 @@ public class PrimitiveCodeSoclib { corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t, " + identifier + "(" + value + ")" + CR; } if (i == tdf.getListStruct().getSize()-1 && i != 0) { - corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t, " + identifier + "(" + value + ")" + CR + "\t\t{}" + CR; + // corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t, " + identifier + "(" + value + ")" + CR + "\t\t{}" + CR; + corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t, " + identifier + "(" + value + ")" + CR; } else { - corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t{}" + CR; + // corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t{}" + CR; } } + corpsPrimitiveTDF = corpsPrimitiveTDF + "\t\t{}" + CR;//moved DG corpsPrimitiveTDF = corpsPrimitiveTDF + "\t};" + CR2; } if (!tdfports.isEmpty()) { for (SysCAMSTPortTDF t : tdfports) { if (t.getOrigin() == 0) { - corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_in< " + t.getTDFType() + " > " + t.getName() + ";" + CR; + corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_in < " + t.getTDFType() + " > " + t.getName() + ";" + CR; } else if (t.getOrigin() == 1) { - corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_out< " + t.getTDFType() + " > " + t.getName() + ";" + CR; + corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_out < " + t.getTDFType() + " > " + t.getName() + ";" + CR; } } } if (!convports.isEmpty()) { for (SysCAMSTPortConverter conv : convports) { + + //if(conv.getConvType()!="sc_uint") + if(conv.getNbits()==0) + { + if (conv.getOrigin() == 0) { + + corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_de::sca_in <" + conv.getConvType()+"> " + conv.getName() + ";" + CR; + } else if (conv.getOrigin() == 1) { + + corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_de::sca_out <" + conv.getConvType()+"> "+ conv.getName() + ";" + CR; + } + + } + else{ if (conv.getOrigin() == 0) { - corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_de::sca_in< " + conv.getConvType() + " > " + conv.getName() + ";" + CR; + + corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_de::sca_in <" + conv.getConvType()+"<" + conv.getNbits()+"> > " + conv.getName() + ";" + CR; } else if (conv.getOrigin() == 1) { - corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_de::sca_out< " + conv.getConvType() + " > " + conv.getName() + ";" + CR; + + corpsPrimitiveTDF = corpsPrimitiveTDF + "\tsca_tdf::sca_de::sca_out <" + conv.getConvType()+"<" + conv.getNbits()+"> > "+ conv.getName() + ";" + CR; } + } } } @@ -441,21 +457,23 @@ public class PrimitiveCodeSoclib { if ((i > 0) && (i < de.getListStruct().getSize()-1)) { corpsPrimitiveDE = corpsPrimitiveDE + "\t\t, " + identifier + "(" + value + ")" + CR; } - if (i == de.getListStruct().getSize()-1 && i != 0) { - corpsPrimitiveDE = corpsPrimitiveDE + "\t\t, " + identifier + "(" + value + ")" + CR + "\t\t{}" + CR; - } else { - corpsPrimitiveDE = corpsPrimitiveDE + "\t\t{}" + CR; - } + // if (i == de.getListStruct().getSize()-1 && i != 0) { + // corpsPrimitiveDE = corpsPrimitiveDE + "\t\t, " + identifier + "(" + value + ")" + CR + "\t\t{}" + CR; + corpsPrimitiveDE = corpsPrimitiveDE + "\t\t, " + identifier + "(" + value + ")" + CR; + // } else { + // corpsPrimitiveDE = corpsPrimitiveDE + "\t\t{}" + CR; + // } } + corpsPrimitiveDE = corpsPrimitiveDE + "\t\t{}" + CR; corpsPrimitiveDE = corpsPrimitiveDE + "\t};" + CR2; } if (!deports.isEmpty()) { for (SysCAMSTPortDE t : deports) { if (t.getOrigin() == 0) { - corpsPrimitiveDE = corpsPrimitiveDE + "\tsca_core::sca_in<" + t.getDEType() + "> " + t.getName() + ";" + CR; + corpsPrimitiveDE = corpsPrimitiveDE + "\tsca_core::sca_in <" + t.getDEType() + " > " + t.getName() + ";" + CR; } else if (t.getOrigin() == 1) { - corpsPrimitiveDE = corpsPrimitiveDE + "\tsca_core::sca_out<" + t.getDEType() + "> " + t.getName() + ";" + CR; + corpsPrimitiveDE = corpsPrimitiveDE + "\tsca_core::sca_out <" + t.getDEType() + " > " + t.getName() + ";" + CR; } } } @@ -534,6 +552,10 @@ public class PrimitiveCodeSoclib { } corpsPrimitiveDE = corpsPrimitiveDE + "private:" + CR; + + if(de.getClockName()!=""){ + corpsPrimitiveDE = corpsPrimitiveDE +"sc_in<bool> "+de.getClockName()+";"+CR; + } if (de.getListStruct().getSize() != 0) { String identifier, type, constant; diff --git a/src/main/java/syscamstranslator/toSysCAMSSoclib/TopCellGeneratorSoclib.java b/src/main/java/syscamstranslator/toSysCAMSSoclib/TopCellGeneratorSoclib.java index 9a10b13afe7fbd637fb09a253b71fd93a5dfc7be..e5cbb6b2a94b097a48972f20a5651c9335d7f9de 100644 --- a/src/main/java/syscamstranslator/toSysCAMSSoclib/TopCellGeneratorSoclib.java +++ b/src/main/java/syscamstranslator/toSysCAMSSoclib/TopCellGeneratorSoclib.java @@ -47,8 +47,6 @@ package syscamstranslator.toSysCAMSSoclib; import syscamstranslator.*; -import syscamstranslator.toSysCAMS.TopCellGenerator; - import java.io.*; import java.util.LinkedList; @@ -58,6 +56,8 @@ import java.util.LinkedList; * Creation: 14/05/2018 * @version 1.0 14/05/2018 * @author Irina Kit Yan LEE + * @version 1.1 12/07/2019 + * @author Irina Kit Yan LEE, Daniela Genius */ public class TopCellGeneratorSoclib { @@ -77,22 +77,22 @@ public class TopCellGeneratorSoclib { if (c == null) { System.out.println("***Warning: require at least one cluster***"); } - if (TopCellGenerator.syscams.getNbBlockTDF() == 0) { + if (TopCellGeneratorSoclib.syscams.getNbBlockTDF() == 0) { System.out.println("***Warning: require at least one TDF block***"); } - if (TopCellGenerator.syscams.getNbPortTDF() == 0) { + if (TopCellGeneratorSoclib.syscams.getNbPortTDF() == 0) { System.out.println("***Warning: require at least one TDF port***"); } - if (TopCellGenerator.syscams.getNbBlockDE() == 0) { + if (TopCellGeneratorSoclib.syscams.getNbBlockDE() == 0) { System.out.println("***Warning: require at least one DE block***"); } - if (TopCellGenerator.syscams.getNbPortDE() == 0) { + if (TopCellGeneratorSoclib.syscams.getNbPortDE() == 0) { System.out.println("***Warning: require at least one DE port***"); } - if (TopCellGenerator.syscams.getNbPortConverter() == 0) { + if (TopCellGeneratorSoclib.syscams.getNbPortConverter() == 0) { System.out.println("***Warning: require at least one converter port***"); } - if (TopCellGenerator.syscams.getNbConnectorCluster() == 0) { + if (TopCellGeneratorSoclib.syscams.getNbConnectorCluster() == 0) { System.out.println("***Warning: require at least one connector***"); } String top = HeaderSoclib.getClusterHeader(c) + ClusterCodeSoclib.getClusterCode(c, connectors); @@ -100,19 +100,15 @@ public class TopCellGeneratorSoclib { } public void saveFile(String path, Boolean standalone) { - SysCAMSTCluster cluster = TopCellGenerator.syscams.getCluster(); - LinkedList<SysCAMSTConnector> connectors = TopCellGenerator.syscams.getAllConnectorCluster(); + SysCAMSTCluster cluster = TopCellGeneratorSoclib.syscams.getCluster(); + LinkedList<SysCAMSTConnector> connectors = TopCellGeneratorSoclib.syscams.getAllConnectorCluster(); FileWriter fw; String top; try { // Save file .cpp System.err.println(path + GENERATED_PATH1 + cluster.getClusterName() + "_tdf.h"); - System.err.println(path + cluster.getClusterName() + "_tdf.h"); - - if(standalone==true) - fw = new FileWriter(path + cluster.getClusterName() + "_tdf.h"); - else + System.err.println(path + cluster.getClusterName() + "_tdf.h"); fw = new FileWriter(path + GENERATED_PATH1 + "/" + cluster.getClusterName() + "_tdf.h"); top = generateTopCell(cluster, connectors); fw.write(top); @@ -134,20 +130,13 @@ public class TopCellGeneratorSoclib { for (SysCAMSTBlockTDF t : tdf) { try { System.err.println(path + GENERATED_PATH2 + t.getName() + "_tdf.h"); - System.err.println(path + t.getName() + "_tdf.h");//DG - - if(standalone==true){ - //System.out.println("@@@@@TDF Soclib version standalone"); - fw = new FileWriter(path + t.getName() + "_tdf.h");} - else + System.err.println(path + t.getName() + "_tdf.h"); fw = new FileWriter(path + GENERATED_PATH2 + "/" + t.getName() + "_tdf.h"); headerTDF = HeaderSoclib.getPrimitiveHeaderTDF(t); fw.write(headerTDF); - codeTDF = PrimitiveCodeSoclib.getPrimitiveCodeTDF(t); - // if(standalone==false) - // codeTDF = codeTDF + CR + "};" + CR2 + "#endif"+CR;//DG + codeTDF = PrimitiveCodeSoclib.getPrimitiveCodeTDF(t); fw.write(codeTDF); fw.close(); @@ -158,19 +147,12 @@ public class TopCellGeneratorSoclib { } for (SysCAMSTBlockDE t : de) { try { - System.err.println(path + GENERATED_PATH2 + t.getName() + "_tdf.h"); - - if(standalone==true){ - //System.out.println("@@@@@DE Soclib version standalone"); - fw = new FileWriter(path + t.getName() + "_tdf.h");} - else - fw = new FileWriter(path + GENERATED_PATH2 + "/" + t.getName() + "_tdf.h"); + System.err.println(path + GENERATED_PATH2 + t.getName() + "_tdf.h"); + fw = new FileWriter(path + GENERATED_PATH2 + "/" + t.getName() + "_tdf.h"); headerDE = HeaderSoclib.getPrimitiveHeaderDE(t); fw.write(headerDE); - codeDE = PrimitiveCodeSoclib.getPrimitiveCodeDE(t); - //if(standalone==false) - // codeDE = codeDE + CR + "};" + CR2 + "#endif" +CR; + codeDE = PrimitiveCodeSoclib.getPrimitiveCodeDE(t); fw.write(codeDE); fw.close(); diff --git a/src/main/java/ui/SysCAMSPanelTranslator.java b/src/main/java/ui/SysCAMSPanelTranslator.java index 333194b077f42b6d8d2cc149d0894b099ac6c405..0a1a5649b78b5092dc4356965c7c128bc09d7087 100644 --- a/src/main/java/ui/SysCAMSPanelTranslator.java +++ b/src/main/java/ui/SysCAMSPanelTranslator.java @@ -51,6 +51,7 @@ import javax.swing.DefaultListModel; * Creation: 19/05/2018 * @version 1.0 19/05/2018 * @author Irina Kit Yan LEE + * @version 1.1 10/07/2019 Daniela GENIUS */ public class SysCAMSPanelTranslator { @@ -104,10 +105,11 @@ public class SysCAMSPanelTranslator { String portName = portDE.getPortName(); String type = portDE.getDEType(); int origin = portDE.getOrigin(); + int nbits = portDE.getNbits(); boolean sensitive = portDE.getSensitive(); String sensitiveMethod = portDE.getSensitiveMethod(); - SysCAMSTPortDE syscamsPortDE = new SysCAMSTPortDE(portName, origin, type, sensitive, sensitiveMethod, syscamsBlockGPIO2VCI); + SysCAMSTPortDE syscamsPortDE = new SysCAMSTPortDE(portName, origin, nbits, type, sensitive, sensitiveMethod, syscamsBlockGPIO2VCI); syscamsMap.put(portDE, syscamsPortDE); syscamsBlockGPIO2VCI.addPortDE(syscamsPortDE); @@ -120,6 +122,7 @@ public class SysCAMSPanelTranslator { SysCAMSBlockDE blockDE = (SysCAMSBlockDE) dp; String blockDEName = blockDE.getValue(); + String clockName = blockDE.getClockName(); // int periodBlock = blockDE.getPeriod(); // String time = blockDE.getTime(); // String nameFn = blockDE.getNameFn(); @@ -129,7 +132,7 @@ public class SysCAMSPanelTranslator { // String typeTemplate = blockDE.getTypeTemplate(); // DefaultListModel<String> listTypedef = blockDE.getListTypedef(); - SysCAMSTBlockDE syscamsBlockDE = new SysCAMSTBlockDE(blockDEName, "", "", null, "", "", "", null, null); + SysCAMSTBlockDE syscamsBlockDE = new SysCAMSTBlockDE(blockDEName, clockName, "", "", null, "", "", "", null, null); List<SysCAMSPortDE> portsDE = blockDE.getAllInternalPortsDE(); for (int i = 0; i < portsDE.size(); i++) { @@ -143,10 +146,11 @@ public class SysCAMSPanelTranslator { int nbits = portDE.getNbits();//DG String type = portDE.getDEType(); int origin = portDE.getOrigin(); + boolean sensitive = portDE.getSensitive(); String sensitiveMethod = portDE.getSensitiveMethod(); - SysCAMSTPortDE syscamsPortDE = new SysCAMSTPortDE(portName, origin, type, sensitive, sensitiveMethod, syscamsBlockDE); + SysCAMSTPortDE syscamsPortDE = new SysCAMSTPortDE(portName, origin, nbits, type, sensitive, sensitiveMethod, syscamsBlockDE); syscamsMap.put(portDE, syscamsPortDE); syscamsBlockDE.addPortDE(syscamsPortDE); @@ -156,6 +160,25 @@ public class SysCAMSPanelTranslator { syscamsMap.put(blockDE, syscamsBlockDE); // System.out.println("@@@ DE block put in map @@@"); syscamsComponents.add(syscamsBlockDE); + + } else if (dp instanceof SysCAMSClock) { + SysCAMSClock clock = (SysCAMSClock) dp; + + String clockName = clock.getName(); + double frequency = clock.getFrequency(); + String unit = clock.getUnit(); + double dutyCycle = clock.getDutyCycle(); + double startTime = clock.getStartTime(); + String unitStartTime = clock.getUnitStartTime(); + boolean posFirst = clock.getPosFirst(); + + SysCAMSTClock syscamsClock = new SysCAMSTClock(clockName, frequency, unit, dutyCycle, startTime, unitStartTime, posFirst); + + + syscamsMap.put(clock, syscamsClock); + //System.out.println("@@@ Clock put in map @@@"); + syscamsComponents.add(syscamsClock); + } else if (dp instanceof SysCAMSCompositeComponent) { SysCAMSCompositeComponent cluster = (SysCAMSCompositeComponent) dp; @@ -165,6 +188,8 @@ public class SysCAMSPanelTranslator { List<SysCAMSBlockTDF> blocksTDF = cluster.getAllBlockTDFComponents(); List<SysCAMSBlockDE> blocksDE = cluster.getAllBlockDEComponents(); + List<SysCAMSClock> clocks = cluster.getAllClockComponents(); + for (int i = 0; i < blocksTDF.size(); i++) { SysCAMSBlockTDF blockTDF = blocksTDF.get(i); @@ -227,32 +252,29 @@ public class SysCAMSPanelTranslator { String type = portConverter.getConvType(); int origin = portConverter.getOrigin(); - SysCAMSTPortConverter syscamsPortConverter = new SysCAMSTPortConverter(portName, periodPort, time, rate, delay, origin, type, syscamsBlockTDF); + SysCAMSTPortConverter syscamsPortConverter = new SysCAMSTPortConverter(portName, periodPort, time, rate, delay, origin, nbits, type, syscamsBlockTDF); syscamsMap.put(portConverter, syscamsPortConverter); syscamsBlockTDF.addPortConverter(syscamsPortConverter); syscamsComponents.add(syscamsPortConverter); } - syscamsMap.put(blockTDF, syscamsBlockTDF); - //System.out.println("@@@ TDF block put in map @@@"); + syscamsMap.put(blockTDF, syscamsBlockTDF); syscamsCluster.addBlockTDF(syscamsBlockTDF); syscamsComponents.add(syscamsBlockTDF); } for (int i = 0; i < blocksDE.size(); i++) { SysCAMSBlockDE blockDE = blocksDE.get(i); - String blockDEName = blockDE.getValue(); -// int periodBlock = blockDE.getPeriod(); -// String time = blockDE.getTime(); + String blockDEName = blockDE.getValue(); String clockName = blockDE.getClockName(); String nameFn = blockDE.getNameFn(); String code = blockDE.getCode(); DefaultListModel<String> listStruct = blockDE.getListStruct(); String nameTemplate = blockDE.getNameTemplate(); String typeTemplate = blockDE.getTypeTemplate(); - String valueTemplate = blockDE.getValueTemplate(); + String valueTemplate = blockDE.getValueTemplate(); DefaultListModel<String> listTypedef = blockDE.getListTypedef(); - SysCAMSTBlockDE syscamsBlockDE = new SysCAMSTBlockDE(blockDEName, nameFn, code, listStruct, nameTemplate, typeTemplate, valueTemplate, listTypedef, syscamsCluster); + SysCAMSTBlockDE syscamsBlockDE = new SysCAMSTBlockDE(blockDEName, clockName, nameFn, code, listStruct, nameTemplate, typeTemplate, valueTemplate, listTypedef, syscamsCluster); List<SysCAMSPortDE> portsDE = blockDE.getAllInternalPortsDE(); for (int j = 0; j < portsDE.size(); j++) { @@ -265,10 +287,11 @@ public class SysCAMSPanelTranslator { // int delay = portDE.getDelay(); String type = portDE.getDEType(); int origin = portDE.getOrigin(); + int nbits = portDE.getNbits(); boolean sensitive = portDE.getSensitive(); String sensitiveMethod = portDE.getSensitiveMethod(); - SysCAMSTPortDE syscamsPortDE = new SysCAMSTPortDE(portName, origin, type, sensitive, sensitiveMethod, syscamsBlockDE); + SysCAMSTPortDE syscamsPortDE = new SysCAMSTPortDE(portName, origin, nbits, type, sensitive, sensitiveMethod, syscamsBlockDE); syscamsMap.put(portDE, syscamsPortDE); syscamsBlockDE.addPortDE(syscamsPortDE); @@ -278,6 +301,26 @@ public class SysCAMSPanelTranslator { syscamsCluster.addBlockDE(syscamsBlockDE); syscamsComponents.add(syscamsBlockDE); } + + + for (int i = 0; i < clocks.size(); i++) { + SysCAMSClock clock = clocks.get(i); + + String clockName = clock.getName(); + double frequency = clock.getFrequency(); + String unit = clock.getUnit(); + double dutyCycle = clock.getDutyCycle(); + double startTime = clock.getStartTime(); + String unitStartTime = clock.getUnitStartTime(); + boolean posFirst = clock.getPosFirst(); + + SysCAMSTClock syscamsClock = new SysCAMSTClock(clockName, frequency, unit, dutyCycle, startTime, unitStartTime, posFirst); + + syscamsMap.put(clock, syscamsClock); + syscamsCluster.addClock(syscamsClock); + syscamsComponents.add(syscamsClock); + } + syscamsMap.put(cluster, syscamsCluster); syscamsComponents.add(syscamsCluster); } else if (dp instanceof SysCAMSPortConnector) { diff --git a/src/main/java/ui/syscams/SysCAMSBlockDE.java b/src/main/java/ui/syscams/SysCAMSBlockDE.java index 96fe3b3afdbfde23fc6a7a54f192c976286e7a29..a07c780529f2d41884f3b83298e903b8a9cf95d5 100644 --- a/src/main/java/ui/syscams/SysCAMSBlockDE.java +++ b/src/main/java/ui/syscams/SysCAMSBlockDE.java @@ -63,10 +63,12 @@ public class SysCAMSBlockDE extends TGCScalableWithInternalComponent implements private String nameFn; private String code; + private String clock; + private String clockName; private DefaultListModel<String> listStruct; private String nameTemplate; private String typeTemplate; - private String valueTemplate; + private String valueTemplate; private DefaultListModel<String> listTypedef; private int maxFontSize = 14; @@ -302,6 +304,7 @@ public class SysCAMSBlockDE extends TGCScalableWithInternalComponent implements sb.append("<Attribute name_function=\"" + getNameFn()); // sb.append(getPeriod()); // sb.append("\" time=\"" + getTime()); + sb.append("\" clockName=\"" + getClockName()); sb.append("\" code=\"" + encode(getCode())); sb.append("\" listStruct=\"" + splitParameters(getListStruct())); sb.append("\" nameTemplate=\"" + getNameTemplate()); @@ -445,13 +448,15 @@ public class SysCAMSBlockDE extends TGCScalableWithInternalComponent implements if (elt.getTagName().equals("Attribute")) { // period = Integer.decode(elt.getAttribute("period")).intValue(); // time = elt.getAttribute("time"); + clockName = elt.getAttribute("clockName"); code = elt.getAttribute("code"); nameFn = elt.getAttribute("name_function"); listStruct = elt.getAttribute("listStruct"); nameTemplate = elt.getAttribute("nameTemplate"); typeTemplate = elt.getAttribute("typeTemplate"); - valueTemplate = elt.getAttribute("valueTemplate"); + valueTemplate = elt.getAttribute("valueTemplate"); listTypedef = elt.getAttribute("listTypedef"); + setClockName(clockName); // setPeriod(period); // setTime(time); setNameFn(nameFn); @@ -467,7 +472,7 @@ public class SysCAMSBlockDE extends TGCScalableWithInternalComponent implements setListStruct(lista); setNameTemplate(nameTemplate); setTypeTemplate(typeTemplate); - setValueTemplate(valueTemplate); + setValueTemplate(valueTemplate); String[] splitb = listTypedef.split("\\|"); DefaultListModel<String> listb = new DefaultListModel<String>(); for (String s : splitb) { @@ -523,6 +528,14 @@ public class SysCAMSBlockDE extends TGCScalableWithInternalComponent implements return list; } + public void setClockName(String _clock) { + clock = _clock; + } + + public String getClockName() { + return clock; + } + public void setNameFn(String nameFn) { this.nameFn = nameFn; } diff --git a/src/main/java/ui/syscams/SysCAMSClock.java b/src/main/java/ui/syscams/SysCAMSClock.java index d9282ef4d39cf7f50a0d37fd4d0a5c28daaca2fb..a2e782e4977170f5b38d7e2b81a3bdb251cb589e 100644 --- a/src/main/java/ui/syscams/SysCAMSClock.java +++ b/src/main/java/ui/syscams/SysCAMSClock.java @@ -68,10 +68,11 @@ public class SysCAMSClock extends TGCScalableWithInternalComponent implements Sw private String valueTemplate; private String name; private String unit; - private String frequency; - private String dutyCycle; - private String startTime; - private String posFirst; + private String unitStartTime; + private double frequency; + private double dutyCycle; + private double startTime; + private boolean posFirst; private DefaultListModel<String> listTypedef; private int maxFontSize = 14; @@ -116,8 +117,7 @@ public class SysCAMSClock extends TGCScalableWithInternalComponent implements Sw setCode(""); setListStruct(new DefaultListModel<String>()); setNameTemplate(""); - // setTypeTemplate(""); - //setValueTemplate(""); + setListTypedef(new DefaultListModel<String>()); myImageIcon = IconManager.imgic1202; @@ -173,19 +173,10 @@ public class SysCAMSClock extends TGCScalableWithInternalComponent implements Sw g.setFont(f.deriveFont(Font.BOLD)); g.drawString(value, x + textX + 1, y + currentFontSize + textX); g.setFont(f.deriveFont(Font.PLAIN)); -// if (this.getPeriod() != -1) { -// String s = "Tm = " + this.getPeriod() + " " + this.getTime(); -// g.drawString(s, x + textX + 1, y + height - currentFontSize - textX); -// } } else { g.setFont(f.deriveFont(Font.BOLD)); g.drawString(value, x + (width - w)/2, y + currentFontSize + textX); - g.setFont(f.deriveFont(Font.PLAIN)); -// if (this.getPeriod() != -1) { -// String s = "Tm = " + this.getPeriod() + " " + this.getTime(); -// w = g.getFontMetrics().stringWidth(s); -// g.drawString(s, x + (width - w)/2, y + height - currentFontSize - textX); -// } + g.setFont(f.deriveFont(Font.PLAIN)); } g.setFont(fold); @@ -304,16 +295,17 @@ public class SysCAMSClock extends TGCScalableWithInternalComponent implements Sw StringBuffer sb = new StringBuffer("<extraparam>\n"); sb.append("<Attribute name_function=\"" + getNameFn()); - sb.append("\" code=\"" + encode(getCode())); - sb.append("\" listStruct=\"" + splitParameters(getListStruct())); + //sb.append("\" code=\"" + encode(getCode())); + //sb.append("\" listStruct=\"" + splitParameters(getListStruct())); sb.append("\" nameTemplate=\"" + getNameTemplate()); - sb.append("\" name=\"" + getName()); + //sb.append("\" name=\"" + getName()); sb.append("\" frequency =\"" + getFrequency()); sb.append("\" unit=\"" + getUnit()); sb.append("\" dutyCycle=\"" + getDutyCycle()); sb.append("\" startTime=\"" + getStartTime()); + sb.append("\" unitStartTime=\"" + getUnitStartTime()); sb.append("\" posFirst =\"" + getPosFirst()); - sb.append("\" listTypedef=\"" + splitParameters(getListTypedef())); + //sb.append("\" listTypedef=\"" + splitParameters(getListTypedef())); sb.append("\" />\n"); sb.append("</extraparam>\n"); return new String(sb); @@ -438,6 +430,8 @@ public class SysCAMSClock extends TGCScalableWithInternalComponent implements Sw Node n1, n2; Element elt; + double frequency, dutyCycle, startTime; + String code, nameFn, listStruct, nameTemplate, typeTemplate, valueTemplate, listTypedef; for(int i=0; i<nl.getLength(); i++) { @@ -449,37 +443,40 @@ public class SysCAMSClock extends TGCScalableWithInternalComponent implements Sw if (n2.getNodeType() == Node.ELEMENT_NODE) { elt = (Element) n2; if (elt.getTagName().equals("Attribute")) { -// unit = elt.getAttribute("unit"); +// unit = elt.getAttribute("unit"); - frequency = elt.getAttribute("frequency"); - posFirst = elt.getAttribute("posFirst"); - dutyCycle = elt.getAttribute("dutyCycle"); - startTime = elt.getAttribute("startTime"); - listStruct = elt.getAttribute("listStruct"); + unit = elt.getAttribute("unitStartTime"); + + frequency = Double.valueOf(elt.getAttribute("frequency")).doubleValue(); + dutyCycle = Double.valueOf(elt.getAttribute("dutyCycle")).doubleValue(); + startTime = Double.valueOf(elt.getAttribute("startTime")).doubleValue(); + posFirst = Boolean.valueOf(elt.getAttribute("posFirst")).booleanValue(); nameTemplate = elt.getAttribute("nameTemplate"); - typeTemplate = elt.getAttribute("typeTemplate"); - valueTemplate = elt.getAttribute("valueTemplate"); - listTypedef = elt.getAttribute("listTypedef"); -// - String[] splita = listStruct.split("\\|"); - DefaultListModel<String> lista = new DefaultListModel<String>(); - for (String s : splita) { + + setFrequency(frequency); + setDutyCycle(dutyCycle); + setStartTime(startTime); + setPosFirst(posFirst); + //String[] splita = listStruct.split("\\|"); + //DefaultListModel<String> lista = new DefaultListModel<String>(); + /* for (String s : splita) { if (!s.equals("")) { lista.addElement(s); } } - setListStruct(lista); + setListStruct(lista);*/ setNameTemplate(nameTemplate); + //setTypeTemplate(typeTemplate); //setValueTemplate(valueTemplate); - String[] splitb = listTypedef.split("\\|"); - DefaultListModel<String> listb = new DefaultListModel<String>(); - for (String s : splitb) { + //String[] splitb = listTypedef.split("\\|"); + //DefaultListModel<String> listb = new DefaultListModel<String>(); + /*for (String s : splitb) { if (!s.equals("")) { listb.addElement(s); } } - setListTypedef(listb); + setListTypedef(listb);*/ } } } @@ -552,28 +549,36 @@ public class SysCAMSClock extends TGCScalableWithInternalComponent implements Sw listStruct = _listStruct; } - /* public String getName() { - return name; - }*/ + //public String getName() { + // return name; + // } - public String getFrequency() { + public double getFrequency() { + // System.out.println("@@@"+frequency); return frequency; } public String getUnit() { + // System.out.println("@@@ clock unit "+unit); return unit; } - public String getDutyCycle() { + public String getUnitStartTime() { + // System.out.println("@@@ clock unit start time "+unitStartTime); + return unitStartTime; + } + + + public double getDutyCycle() { return dutyCycle; } - public String getStartTime() { + public double getStartTime() { return startTime; } - public String getPosFirst() { + public boolean getPosFirst() { return posFirst; } @@ -582,11 +587,11 @@ public class SysCAMSClock extends TGCScalableWithInternalComponent implements Sw } - public void setStartTime(String _startTime) { + public void setStartTime(double _startTime) { startTime = _startTime; } - public void setFrequency(String _frequency) { + public void setFrequency(double _frequency) { frequency = _frequency; } @@ -594,11 +599,15 @@ public class SysCAMSClock extends TGCScalableWithInternalComponent implements Sw unit = _unit; } - public void setDutyCycle(String _dutyCycle) { + public void setUnitStartTime(String _unitStartTime) { + unitStartTime = _unitStartTime; + } + + public void setDutyCycle(double _dutyCycle) { dutyCycle = _dutyCycle; } - public void setPosFirst(String _posFirst) { + public void setPosFirst(boolean _posFirst) { posFirst = _posFirst; } diff --git a/src/main/java/ui/syscams/SysCAMSPortConverter.java b/src/main/java/ui/syscams/SysCAMSPortConverter.java index b423c50a09cb5fca18e01b5b3f8af59d2c35becb..fc382782ea0445f4b62afb62dca777ccc62f78ca 100644 --- a/src/main/java/ui/syscams/SysCAMSPortConverter.java +++ b/src/main/java/ui/syscams/SysCAMSPortConverter.java @@ -86,6 +86,11 @@ public class SysCAMSPortConverter extends SysCAMSPrimitivePort { public int getNbits() { return nbits; } + + public void setNbits(int nbits) { + this.nbits = nbits; + } + public void setRate(int rate) { this.rate = rate; } diff --git a/src/main/java/ui/syscams/SysCAMSPortDE.java b/src/main/java/ui/syscams/SysCAMSPortDE.java index 5c2478ae454f28817ff40103ad3989fcf81c56b9..dc4a1ab271bd0471bbea7694b47ed63ea57dc086 100644 --- a/src/main/java/ui/syscams/SysCAMSPortDE.java +++ b/src/main/java/ui/syscams/SysCAMSPortDE.java @@ -115,6 +115,11 @@ public class SysCAMSPortDE extends SysCAMSPrimitivePort { public int getNbits() { return nbits; } + + public void setNbits(int _nbits) { + nbits = _nbits; + } + public void setSensitive(boolean _sensitive) { sensitive = _sensitive; diff --git a/src/main/java/ui/syscams/SysCAMSPrimitivePort.java b/src/main/java/ui/syscams/SysCAMSPrimitivePort.java index 80f14a1992b0044d2df8a042acc5b7d901b05cd9..8d063cafd1ed550125fffb2d6bfa3dc7c8034b37 100644 --- a/src/main/java/ui/syscams/SysCAMSPrimitivePort.java +++ b/src/main/java/ui/syscams/SysCAMSPrimitivePort.java @@ -565,7 +565,7 @@ public class SysCAMSPrimitivePort extends TGCScalableWithInternalComponent imple sb.append("\" nbits=\"" + ((SysCAMSPortConverter) this).getNbits());//DG sb.append("\" delay=\"" + ((SysCAMSPortConverter) this).getDelay()); - sb.append("\" type=\"" + encode(((SysCAMSPortConverter) this).getConvType())); + sb.append("\" type=\"" + encode(((SysCAMSPortConverter) this).getConvType())); } sb.append("\" />\n"); sb.append("</extraparam>\n"); @@ -579,9 +579,9 @@ public class SysCAMSPrimitivePort extends TGCScalableWithInternalComponent imple Element elt; double period; - int rate, delay; + int rate, delay, nbits; String type, time, sensitiveMethod; - Boolean sensitive; + Boolean sensitive, posFirst; for(int i=0; i<nl.getLength(); i++) { n1 = nl.item(i); @@ -608,27 +608,27 @@ public class SysCAMSPrimitivePort extends TGCScalableWithInternalComponent imple ((SysCAMSPortTDF) this).setDelay(delay); ((SysCAMSPortTDF) this).setTDFType(type); } else if (this instanceof SysCAMSPortDE) { - // ((SysCAMSPortDE)this).setPeriod(period); - // ((SysCAMSPortDE)this).setTime(time); - // ((SysCAMSPortDE)this).setRate(rate); - // ((SysCAMSPortDE)this).setDelay(delay); type = elt.getAttribute("type"); sensitive = Boolean.parseBoolean(elt.getAttribute("sensitive")); + nbits = Integer.decode(elt.getAttribute("nbits")).intValue(); sensitiveMethod = elt.getAttribute("sensitive_method"); ((SysCAMSPortDE) this).setDEType(type); ((SysCAMSPortDE) this).setSensitive(sensitive); ((SysCAMSPortDE) this).setSensitiveMethod(sensitiveMethod); + ((SysCAMSPortDE) this).setNbits(nbits); } else if (this instanceof SysCAMSPortConverter) { period = Double.valueOf(elt.getAttribute("period")).doubleValue(); time = elt.getAttribute("time"); rate = Integer.decode(elt.getAttribute("rate")).intValue(); delay = Integer.decode(elt.getAttribute("delay")).intValue(); type = elt.getAttribute("type"); + nbits = Integer.decode(elt.getAttribute("nbits")).intValue(); ((SysCAMSPortConverter) this).setPeriod(period); ((SysCAMSPortConverter) this).setTime(time); ((SysCAMSPortConverter) this).setRate(rate); - ((SysCAMSPortConverter)this).setDelay(delay); - ((SysCAMSPortConverter)this).setConvType(type); + ((SysCAMSPortConverter) this).setNbits(nbits); + ((SysCAMSPortConverter)this).setDelay(delay); + ((SysCAMSPortConverter)this).setConvType(type); } } makeValue(); diff --git a/src/main/java/ui/window/JDialogSysCAMSBlockDE.java b/src/main/java/ui/window/JDialogSysCAMSBlockDE.java index 516e328e0ab4bb4c446e5cf7e5d9f7c539c64850..4e1b9d75eba2bec964cf27023958d7f3966086e6 100644 --- a/src/main/java/ui/window/JDialogSysCAMSBlockDE.java +++ b/src/main/java/ui/window/JDialogSysCAMSBlockDE.java @@ -60,6 +60,7 @@ import javax.swing.event.*; public class JDialogSysCAMSBlockDE extends JDialog implements ActionListener, ListSelectionListener { private JTextField nameTextField; + private JTextField clockTextField; // private JTextField periodTextField; // private String listPeriodString[]; // private JComboBox<String> periodComboBoxString; @@ -268,6 +269,30 @@ public class JDialogSysCAMSBlockDE extends JDialog implements ActionListener, Li // gridBag.setConstraints(periodComboBoxString, constraints); // attributesBoxPanel.add(periodComboBoxString); + + /*GridBagLayout gridBag = new GridBagLayout(); + GridBagConstraints constraints = new GridBagConstraints(); + JPanel attributesBoxPanel = new JPanel(); + attributesBoxPanel.setFont(new Font("Helvetica", Font.PLAIN, 14)); + attributesBoxPanel.setLayout(gridBag);*/ + + JLabel labelClock = new JLabel("Clock : "); + constraints = new GridBagConstraints(0, 1, 1, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, + new Insets(15, 10, 5, 10), 0, 0); + gridBag.setConstraints(labelClock, constraints); + attributesBoxPanel.add(labelClock); + + if (block.getValue().toString().equals("")) { + clockTextField = new JTextField(10); + } else { + clockTextField = new JTextField(block.getClockName().toString(), 10); + } + constraints = new GridBagConstraints(1, 1, 2, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, + new Insets(15, 10, 5, 10), 0, 0); + gridBag.setConstraints(clockTextField, constraints); + attributesBoxPanel.add(clockTextField); + + attributesBox.add(attributesBoxPanel); attributesMainPanel.add(attributesBox, BorderLayout.NORTH); @@ -699,6 +724,11 @@ public class JDialogSysCAMSBlockDE extends JDialog implements ActionListener, Li JOptionPane.WARNING_MESSAGE); } } + + if (!(clockTextField.getText().isEmpty())) { + block.setClockName(clockTextField.getText()); + } + if (block.getFather() != null) { if ("OK".equals(e.getActionCommand())) { nameTypedefTextField.setEditable(true); diff --git a/src/main/java/ui/window/JDialogSysCAMSClock.java b/src/main/java/ui/window/JDialogSysCAMSClock.java index b9e1e5df6d94ad304fe69074f0a6177b3bd494e1..e8986d654cea7150fe2ee948ad5b9836e9c7b4b3 100644 --- a/src/main/java/ui/window/JDialogSysCAMSClock.java +++ b/src/main/java/ui/window/JDialogSysCAMSClock.java @@ -58,17 +58,53 @@ import javax.swing.*; public class JDialogSysCAMSClock extends JDialog implements ActionListener { private JTextField nameTextField; - private JTextField frequencyTextField; - private JTextField unitTextField; - private JTextField dutyCycleTextField; - private JTextField startTimeTextField; - private String listUnitString[]; - private String posFirstString[]; private JComboBox<String> unitComboBoxString; + + private JTextField posFirstTextField; + private String listPosFirstString[]; private JComboBox<String> posFirstComboBoxString; + + private JTextField frequencyTextField; + private String listFrequencyString[]; + private JComboBox<String> frequencyComboBoxString; + + private JTextField dutyCycleTextField; + private String listDutyCycleString[]; + private JComboBox<String> dutyCycleComboBoxString; + + private JTextField startTimeTextField; + private String listStartTimeString[]; + private JComboBox<String> startTimeComboBoxString; + + private JTextField nameStructTextField; + private JTextField valueStructTextField; + private JRadioButton constantStructRadioButton; + private String listTypeStructString[]; + private JComboBox<String> typeStructComboBoxString; + private ArrayList<String> listTmpStruct; + private JList<String> structList; + private DefaultListModel<String> structListModel; + private boolean structBool = false; + private JTextField nameTemplateTextField; + private JTextField valueTemplateTextField; + private String listTypeTemplateString[]; + private JComboBox<String> typeTemplateComboBoxString; + private JTextField nameTypedefTextField; + private String listTypeTypedefString[]; + private JComboBox<String> typeTypedefComboBoxString; + private JButton addModifyTypedefButton; + private ArrayList<String> listTmpTypedef; + private JList<String> typedefList; + private DefaultListModel<String> typedefListModel; + private boolean typedefBool = false; + + private JButton upButton, downButton, removeButton; + + private SysCAMSClock clock; + public JDialogSysCAMSClock(SysCAMSClock clock) { this.setTitle("Setting Clock Attributes"); this.setLocationRelativeTo(null); @@ -88,17 +124,100 @@ public class JDialogSysCAMSClock extends JDialog implements ActionListener { dialog(); } - public void dialog() { + + public StringBuffer encode(String data) { + StringBuffer databuf = new StringBuffer(data); + StringBuffer buffer = new StringBuffer(""); + int endline = 0; + int nb_arobase = 0; + int condition = 0; + + for (int pos = 0; pos != data.length(); pos++) { + char c = databuf.charAt(pos); + switch (c) { + case '\n': + break; + case '\t': + break; + case '{': + buffer.append("{\n"); + endline = 1; + nb_arobase++; + break; + case '}': + if (nb_arobase == 1) { + buffer.append("}\n"); + endline = 0; + } else { + int i = nb_arobase; + while (i >= 1) { + buffer.append("\t"); + i--; + } + buffer.append("}\n"); + endline = 1; + } + nb_arobase--; + break; + case ';': + if (condition == 1) { + buffer.append(";"); + } else { + buffer.append(";\n"); + endline = 1; + } + break; + case ' ': + if (endline == 0) { + buffer.append(databuf.charAt(pos)); + } + break; + case '(': + buffer.append("("); + condition = 1; + break; + case ')': + buffer.append(")"); + condition = 0; + break; + default: + if (endline == 1) { + endline = 0; + int i = nb_arobase; + while (i >= 1) { + buffer.append("\t"); + i--; + } + } + buffer.append(databuf.charAt(pos)); + break; + } + } + return buffer; + } + + + public void dialog() { JPanel mainPanel = new JPanel(new BorderLayout()); this.add(mainPanel); + JTabbedPane tabbedPane = new JTabbedPane(); JPanel attributesMainPanel = new JPanel(); - mainPanel.add(attributesMainPanel, BorderLayout.NORTH); + JPanel parametersMainPanel = new JPanel(); + JPanel processMainPanel = new JPanel(); + JPanel contructorMainPanel = new JPanel(); + tabbedPane.add("Attributes", attributesMainPanel); + // tabbedPane.add("Parameters", parametersMainPanel); + //tabbedPane.add("Process Code", processMainPanel); + //tabbedPane.add("Constructor Code", contructorMainPanel); + mainPanel.add(tabbedPane, BorderLayout.NORTH); + + // --- Attributes ---// attributesMainPanel.setLayout(new BorderLayout()); Box attributesBox = Box.createVerticalBox(); - attributesBox.setBorder(BorderFactory.createTitledBorder("Setting clock attributes")); + attributesBox.setBorder(BorderFactory.createTitledBorder("Setting Clock attributes")); GridBagLayout gridBag = new GridBagLayout(); GridBagConstraints constraints = new GridBagConstraints(); @@ -112,98 +231,148 @@ public class JDialogSysCAMSClock extends JDialog implements ActionListener { gridBag.setConstraints(labelName, constraints); attributesBoxPanel.add(labelName); - if (clock.getValue().toString().equals("")) { + if (clock.getValue().toString().equals("")) { nameTextField = new JTextField(10); } else { - nameTextField = new JTextField(clock.getValue().toString(), 10); + nameTextField = new JTextField(clock.getValue().toString(), 10); } constraints = new GridBagConstraints(1, 0, 2, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, new Insets(15, 10, 5, 10), 0, 0); gridBag.setConstraints(nameTextField, constraints); attributesBoxPanel.add(nameTextField); - - - JLabel labelFrequency = new JLabel("Frequency : "); + JLabel frequencyLabel = new JLabel("Frequency Tm : "); constraints = new GridBagConstraints(0, 1, 1, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, - new Insets(15, 10, 5, 10), 0, 0); - gridBag.setConstraints(labelFrequency, constraints); - attributesBoxPanel.add(labelFrequency); + new Insets(5, 10, 15, 10), 0, 0); + gridBag.setConstraints(frequencyLabel, constraints); + attributesBoxPanel.add(frequencyLabel); - if (clock.getValue().toString().equals("")) { - nameTextField = new JTextField(10); + if (clock.getFrequency() == -1) { + frequencyTextField = new JTextField(10); } else { - frequencyTextField = new JTextField(clock.getValue().toString(), 10); + frequencyTextField = new JTextField("" + clock.getFrequency(), 10); } - constraints = new GridBagConstraints(1, 1, 2, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, - new Insets(15, 10, 5, 10), 0, 0); + constraints = new GridBagConstraints(1, 1, 1, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, + new Insets(5, 10, 15, 10), 0, 0); gridBag.setConstraints(frequencyTextField, constraints); attributesBoxPanel.add(frequencyTextField); + listFrequencyString = new String[4]; + listFrequencyString[0] = "s"; + listFrequencyString[1] = "ms"; + listFrequencyString[2] = "\u03BCs"; + listFrequencyString[3] = "ns"; + frequencyComboBoxString = new JComboBox<String>(listFrequencyString); + if (clock.getUnit().equals("") || clock.getUnit().equals("s")) { + frequencyComboBoxString.setSelectedIndex(0); + } else if (clock.getUnit().equals("ms")) { + frequencyComboBoxString.setSelectedIndex(1); + } else if (clock.getUnit().equals("\u03BCs")) { + frequencyComboBoxString.setSelectedIndex(2); + } else if (clock.getUnit().equals("ns")) { + frequencyComboBoxString.setSelectedIndex(3); + } + + frequencyComboBoxString.addActionListener(this); + constraints = new GridBagConstraints(2, 1, 1, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, + new Insets(5, 10, 15, 10), 0, 0); + gridBag.setConstraints(frequencyComboBoxString, constraints); + attributesBoxPanel.add(frequencyComboBoxString); + - JLabel labelDutyCycle = new JLabel("DutyCycle : "); - constraints = new GridBagConstraints(0, 1, 1, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, - new Insets(15, 10, 5, 10), 0, 0); - gridBag.setConstraints(labelDutyCycle, constraints); - attributesBoxPanel.add(labelDutyCycle); + JLabel dutyCycleLabel = new JLabel("DutyCycle Tm : "); + constraints = new GridBagConstraints(0, 2, 1, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, + new Insets(5, 10, 15, 10), 0, 0); + gridBag.setConstraints(dutyCycleLabel, constraints); + attributesBoxPanel.add(dutyCycleLabel); - if (clock.getValue().toString().equals("")) { - nameTextField = new JTextField(10); + if (clock.getDutyCycle() == -1) { + dutyCycleTextField = new JTextField(10); } else { - frequencyTextField = new JTextField(clock.getValue().toString(), 10); + dutyCycleTextField = new JTextField("" + clock.getDutyCycle(), 10); } - constraints = new GridBagConstraints(1, 1, 2, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, - new Insets(15, 10, 5, 10), 0, 0); - gridBag.setConstraints(frequencyTextField, constraints); - attributesBoxPanel.add(frequencyTextField); - - listUnitString = new String[4]; - listUnitString[0] = "s"; - listUnitString[1] = "ms"; - listUnitString[2] = "\u03BCs"; - listUnitString[3] = "ns"; - unitComboBoxString = new JComboBox<String>(listUnitString); + constraints = new GridBagConstraints(1, 2, 1, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, + new Insets(5, 10, 15, 10), 0, 0); + gridBag.setConstraints(dutyCycleTextField, constraints); + attributesBoxPanel.add(dutyCycleTextField); + + + JLabel startTimeLabel = new JLabel("StartTime Tm : "); + constraints = new GridBagConstraints(0, 3, 1, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, + new Insets(5, 10, 15, 10), 0, 0); + gridBag.setConstraints(startTimeLabel, constraints); + attributesBoxPanel.add(startTimeLabel); + + if (clock.getStartTime() == -1) { + startTimeTextField = new JTextField(10); + } else { + startTimeTextField = new JTextField("" + clock.getStartTime(), 10); + } + constraints = new GridBagConstraints(1, 3, 1, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, + new Insets(5, 10, 15, 10), 0, 0); + gridBag.setConstraints(startTimeTextField, constraints); + attributesBoxPanel.add(startTimeTextField); + + listStartTimeString = new String[4]; + listStartTimeString[0] = "s"; + listStartTimeString[1] = "ms"; + listStartTimeString[2] = "\u03BCs"; + listStartTimeString[3] = "ns"; + startTimeComboBoxString = new JComboBox<String>(listStartTimeString); if (clock.getUnit().equals("") || clock.getUnit().equals("s")) { - unitComboBoxString.setSelectedIndex(0); - } else if (clock.getUnit().equals("ms")){ - unitComboBoxString.setSelectedIndex(1); - } else if (clock.getUnit().equals("\u03BCs")){ - unitComboBoxString.setSelectedIndex(2); - } else if (clock.getUnit().equals("ns")){ - unitComboBoxString.setSelectedIndex(3); + startTimeComboBoxString.setSelectedIndex(0); + } else if (clock.getUnit().equals("ms")) { + startTimeComboBoxString.setSelectedIndex(1); + } else if (clock.getUnit().equals("\u03BCs")) { + startTimeComboBoxString.setSelectedIndex(2); + } else if (clock.getUnit().equals("ns")) { + startTimeComboBoxString.setSelectedIndex(3); + } + startTimeComboBoxString.addActionListener(this); + constraints = new GridBagConstraints(2, 3, 1, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, + new Insets(5, 10, 15, 10), 0, 0); + gridBag.setConstraints(startTimeComboBoxString, constraints); + attributesBoxPanel.add(startTimeComboBoxString); + + + // + JLabel posFirstLabel = new JLabel("PosFirst Tm : "); + constraints = new GridBagConstraints(0, 4, 1, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, + new Insets(5, 10, 15, 10), 0, 0); + gridBag.setConstraints(posFirstLabel, constraints); + attributesBoxPanel.add(posFirstLabel); + + if (clock.getPosFirst() == false) { + posFirstTextField = new JTextField(10); + } else { + posFirstTextField = new JTextField("" + clock.getPosFirst(), 10); } - unitComboBoxString.setActionCommand("unit"); - unitComboBoxString.addActionListener(this); - constraints = new GridBagConstraints(2, 1, 1, 1, 1.0, 1.0, - GridBagConstraints.CENTER, - GridBagConstraints.BOTH, - new Insets(5, 10, 5, 10), 0, 0); - gridBag.setConstraints(unitComboBoxString, constraints); - attributesBoxPanel.add(unitComboBoxString); - - posFirstString = new String[2]; - posFirstString[0] = "true"; - posFirstString[1] = "false"; + constraints = new GridBagConstraints(1, 4, 1, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, + new Insets(5, 10, 15, 10), 0, 0); + //gridBag.setConstraints(posFirstTextField, constraints); + //attributesBoxPanel.add(posFirstTextField); + + listPosFirstString = new String[2]; + listPosFirstString[0] = "false"; + listPosFirstString[1] = "true"; - posFirstComboBoxString = new JComboBox<String>(posFirstString); - if (clock.getPosFirst().equals("") || clock.getPosFirst().equals("true")) { + posFirstComboBoxString = new JComboBox<String>(listPosFirstString); + if (clock.getUnit().equals("") || clock.getUnit().equals("no")) { posFirstComboBoxString.setSelectedIndex(0); - } else if (clock.getPosFirst().equals("false")){ + } else if (clock.getUnit().equals("yes")) { posFirstComboBoxString.setSelectedIndex(1); } - posFirstComboBoxString.setActionCommand("positive edge first"); posFirstComboBoxString.addActionListener(this); - constraints = new GridBagConstraints(2, 1, 1, 1, 1.0, 1.0, - GridBagConstraints.CENTER, - GridBagConstraints.BOTH, - new Insets(5, 10, 5, 10), 0, 0); + constraints = new GridBagConstraints(2, 4, 1, 1, 1.0, 1.0, GridBagConstraints.CENTER, GridBagConstraints.BOTH, + new Insets(5, 10, 15, 10), 0, 0); gridBag.setConstraints(posFirstComboBoxString, constraints); attributesBoxPanel.add(posFirstComboBoxString); - - attributesBox.add(attributesBoxPanel); - + // + + attributesBox.add(attributesBoxPanel); attributesMainPanel.add(attributesBox, BorderLayout.NORTH); - + + // --- Button --- // JPanel downPanel = new JPanel(new FlowLayout()); JButton saveCloseButton = new JButton("Save and close"); @@ -225,21 +394,118 @@ public class JDialogSysCAMSClock extends JDialog implements ActionListener { this.getRootPane().setDefaultButton(saveCloseButton); } + public void actionPerformed(ActionEvent e) { clock.setName(nameTextField.getText()); - clock.setFrequency(frequencyTextField.getText()); - clock.setDutyCycle(dutyCycleTextField.getText()); - clock.setStartTime(startTimeTextField.getText()); - clock.setPosFirst((String) posFirstComboBoxString.getSelectedItem()); - clock.setUnit((String) unitComboBoxString.getSelectedItem()); + + if(posFirstComboBoxString.getSelectedIndex()==0){ + clock.setPosFirst(false); + } + else{ + clock.setPosFirst(true); + } + + + // clock.setUnit((String) unitComboBoxString.getSelectedItem()); + + //clock.setUnitStartTime((String) unitStartTimeComboBoxString.getSelectedItem()); + + + if ("Save_Close".equals(e.getActionCommand())) { + clock.setValue(new String(nameTextField.getText())); + + if (!(frequencyTextField.getText().isEmpty())) { + Boolean frequencyValueInteger = false; + try { + Double.parseDouble(frequencyTextField.getText()); + } catch (NumberFormatException e1) { + JDialog msg = new JDialog(this); + msg.setLocationRelativeTo(null); + JOptionPane.showMessageDialog(msg, "Frequency is not a Double", "Warning !", + JOptionPane.WARNING_MESSAGE); + frequencyValueInteger = true; + } + if (frequencyValueInteger == false) { + + if(frequencyComboBoxString.getSelectedIndex()==0)frequencyComboBoxString.setSelectedItem("s"); + if(frequencyComboBoxString.getSelectedIndex()==1)frequencyComboBoxString.setSelectedItem("ms"); + if(frequencyComboBoxString.getSelectedIndex()==2)frequencyComboBoxString.setSelectedItem("\u03BCs"); + if(frequencyComboBoxString.getSelectedIndex()==3)frequencyComboBoxString.setSelectedItem("ns"); + + + clock.setFrequency(Double.parseDouble(frequencyTextField.getText())); + clock.setUnit((String) frequencyComboBoxString.getSelectedItem()); + + } + } else { + clock.setFrequency(-1); + clock.setUnit(""); + } + + if (!(dutyCycleTextField.getText().isEmpty())) { + Boolean dutyCycleValueInteger = false; + try { + Double.parseDouble(dutyCycleTextField.getText()); + } catch (NumberFormatException e1) { + JDialog msg = new JDialog(this); + msg.setLocationRelativeTo(null); + JOptionPane.showMessageDialog(msg, "DutyCycle is not a Double", "Warning !", + JOptionPane.WARNING_MESSAGE); + dutyCycleValueInteger = true; + } + if (dutyCycleValueInteger == false) { + clock.setDutyCycle(Double.parseDouble(dutyCycleTextField.getText())); + //clock.setUnit((String) dutyCycleComboBoxString.getSelectedItem()); + } + } else { + clock.setDutyCycle(-1); + //clock.setUnit(""); + } + + if (!(startTimeTextField.getText().isEmpty())) { + Boolean startTimeValueInteger = false; + try { + Double.parseDouble(startTimeTextField.getText()); + } catch (NumberFormatException e1) { + JDialog msg = new JDialog(this); + msg.setLocationRelativeTo(null); + JOptionPane.showMessageDialog(msg, "StartTime is not a Double", "Warning !", + JOptionPane.WARNING_MESSAGE); + startTimeValueInteger = true; + } + if (startTimeValueInteger == false) { + + if(startTimeComboBoxString.getSelectedIndex()==0)startTimeComboBoxString.setSelectedItem("s"); + if(startTimeComboBoxString.getSelectedIndex()==1)startTimeComboBoxString.setSelectedItem("ms"); + if(startTimeComboBoxString.getSelectedIndex()==2)startTimeComboBoxString.setSelectedItem("\u03BCs"); + if(startTimeComboBoxString.getSelectedIndex()==3)startTimeComboBoxString.setSelectedItem("ns"); + + clock.setStartTime(Double.parseDouble(startTimeTextField.getText())); + clock.setUnitStartTime((String) startTimeComboBoxString.getSelectedItem()); + } + } else { + clock.setStartTime(-1); + clock.setUnitStartTime(""); + } + + + clock.setListStruct(structListModel); + //clock.setNameTemplate(nameTemplateTextField.getText()); + //clock.setTypeTemplate((String) typeTemplateComboBoxString.getSelectedItem()); + //clock.setValueTemplate(valueTemplateTextField.getText()); + //clock.setListTypedef(typedefListModel); + + this.dispose(); + } + - if ("Save_Close".equals(e.getActionCommand())) { + if ("Save_Close".equals(e.getActionCommand())) { clock.setValue(new String(nameTextField.getText())); this.dispose(); } if ("Cancel".equals(e.getActionCommand())) { this.dispose(); - } + } } } diff --git a/src/main/java/ui/window/JDialogSysCAMSPortConverter.java b/src/main/java/ui/window/JDialogSysCAMSPortConverter.java index f9a78ca4d500993b94a47dd246abfbac7a0587d1..14833d45f5d43de2944155e166039e0230f56b00 100644 --- a/src/main/java/ui/window/JDialogSysCAMSPortConverter.java +++ b/src/main/java/ui/window/JDialogSysCAMSPortConverter.java @@ -268,6 +268,9 @@ public class JDialogSysCAMSPortConverter extends JDialog implements ActionListen if (port.getConvType().equals(listArrayTypeString.get(i))) { typeComboBoxString.setSelectedIndex(i); } + if (port.getConvType().equals("sc_uint")) { + typeComboBoxString.setSelectedIndex(3); + } } typeComboBoxString.addActionListener(this); constraints = new GridBagConstraints(1, 5, 2, 1, 1.0, 1.0, @@ -275,6 +278,7 @@ public class JDialogSysCAMSPortConverter extends JDialog implements ActionListen GridBagConstraints.BOTH, new Insets(5, 10, 5, 10), 0, 0); gridBag.setConstraints(typeComboBoxString, constraints); + // System.out.println("@@@@@ Converter port type " +typeComboBoxString); boxPanel.add(typeComboBoxString); JLabel orginLabel = new JLabel("Origin : "); @@ -377,12 +381,12 @@ public class JDialogSysCAMSPortConverter extends JDialog implements ActionListen JOptionPane.WARNING_MESSAGE); nbitsValueInteger = true; } - /* if (nbitsValueInteger == false) { + //if (nbitsValueInteger == false) { port.setNbits(Integer.parseInt(nbitsTextField.getText())); - } - } else { - port.setNbits(-1); - }*/ + //} + // } else { + // port.setNbits(-1); + // } } @@ -404,13 +408,18 @@ public class JDialogSysCAMSPortConverter extends JDialog implements ActionListen port.setDelay(-1); } - if(typeComboBoxString.getSelectedItem()=="sc_uint"){ - port.setConvType("sc_uint<"+nbitsTextField.getText()+">"); - } - else{ + if(typeComboBoxString.getSelectedItem()=="sc_uint<N>"){ + port.setConvType("sc_uint<"+nbitsTextField.getText()+"> "); + port.setConvType("sc_uint"); + port.setNbits(Integer.parseInt(nbitsTextField.getText())); + + + } + else{ port.setConvType((String) typeComboBoxString.getSelectedItem()); - // port.setTime((String) periodComboBoxString.getSelectedItem()); - } + port.setNbits(Integer.parseInt(nbitsTextField.getText())); + port.setTime((String) periodComboBoxString.getSelectedItem()); + } port.setTime((String) periodComboBoxString.getSelectedItem()); diff --git a/src/main/java/ui/window/JDialogSysCAMSPortDE.java b/src/main/java/ui/window/JDialogSysCAMSPortDE.java index 9833b2e905926f5aee0baac9cc7dc97aceb6b6e0..69ffa9f6c4a79c41ee0a2f2742ee6ba2c2f5d54f 100644 --- a/src/main/java/ui/window/JDialogSysCAMSPortDE.java +++ b/src/main/java/ui/window/JDialogSysCAMSPortDE.java @@ -214,10 +214,11 @@ public class JDialogSysCAMSPortDE extends JDialog implements ActionListener { boxPanel.add(typeLabel); listArrayTypeString = new ArrayList<String>(); - listArrayTypeString.add("int"); - listArrayTypeString.add("bool"); - listArrayTypeString.add("double"); - listArrayTypeString.add("sc_uint<N>"); + listArrayTypeString.add("int"); + listArrayTypeString.add("bool"); + listArrayTypeString.add("double"); + listArrayTypeString.add("sc_uint<N>"); + if (port.getFather() != null) { if (port.getFather() instanceof SysCAMSBlockDE) { if (!((SysCAMSBlockDE) port.getFather()).getListTypedef().isEmpty()) { @@ -239,11 +240,18 @@ public class JDialogSysCAMSPortDE extends JDialog implements ActionListener { } for (int i = 0; i < listArrayTypeString.size(); i++) { if (port.getDEType().equals("")) { + typeComboBoxString.setSelectedIndex(0); } if (port.getDEType().equals(listArrayTypeString.get(i))) { typeComboBoxString.setSelectedIndex(i); + + } + if (port.getDEType().equals("sc_uint")) { + typeComboBoxString.setSelectedIndex(3); + } + } typeComboBoxString.addActionListener(this); constraints = new GridBagConstraints(1, 2, 2, 1, 1.0, 1.0, @@ -251,6 +259,7 @@ public class JDialogSysCAMSPortDE extends JDialog implements ActionListener { GridBagConstraints.BOTH, new Insets(5, 10, 5, 10), 0, 0); gridBag.setConstraints(typeComboBoxString, constraints); + // System.out.println("@@@@@ DE port type " +typeComboBoxString); boxPanel.add(typeComboBoxString); JLabel orginLabel = new JLabel("Origin : "); @@ -358,12 +367,13 @@ public class JDialogSysCAMSPortDE extends JDialog implements ActionListener { JOptionPane.WARNING_MESSAGE); nbitsValueInteger = true; } - /* if (nbitsValueInteger == false) { + // if (nbitsValueInteger == false) { port.setNbits(Integer.parseInt(nbitsTextField.getText())); - } - } else { - port.setNbits(-1); - }*/ + //System.out.println("@@@@@@@@@1"+port.getNbits()); + // } + // } else { + // port.setNbits(-1); + // }*/ } // if (!(periodTextField.getText().isEmpty())) { @@ -421,14 +431,21 @@ public class JDialogSysCAMSPortDE extends JDialog implements ActionListener { // } + - if(typeComboBoxString.getSelectedItem()=="sc_uint"){ - port.setDEType("sc_uint<"+nbitsTextField.getText()+">"); - } - else{ - port.setDEType((String) typeComboBoxString.getSelectedItem()); - // port.setTime((String) periodComboBoxString.getSelectedItem()); - } + if(typeComboBoxString.getSelectedItem()=="sc_uint<N>"){ + // port.setDEType((String)"sc_uint<"+nbitsTextField.getText()+"> "); + port.setDEType("sc_uint"); + + + } + else{ + port.setDEType((String) typeComboBoxString.getSelectedItem()); + + } + + + if ((String) originComboBoxString.getSelectedItem() == "Output") { port.setOrigin(1);